首页

> 学术发表知识库

首页 学术发表知识库 问题

汽车尾灯设计毕业论文前言

发布时间:

汽车尾灯设计毕业论文前言

1.作为动力源,发动机的工作性能和技术状况对车辆的安全性、燃油经济性和排放性能影响很大。 2.发动机故障在各类汽车故障中占有最大的比例,而且检测诊断和维修的难度大。 3.随着汽车排放法规的日益苛刻,当今的发动机采用了大量的新技术。

毕业论文前言怎么写 论文的前言也叫引言,是正文前面一段短文。前言是论文的开场白,目的是向读者说明本研究的来龙去脉,吸引读者对本篇论文产生兴趣,对正文起到提纲掣领和引导阅读兴趣的作用。在写前言之前首先应明确几个基本问题:你想通过本文说明什么问题?有哪些新的发现,是否有学术价值?一般读者读了前言以后,可清楚地知道作者为什么选择该题目进行研究。为此,在写前言以前,要尽可能多地了解相关的内容,收集前人和别人已有工作的主要资料,说明本研究设想的合理性。 1、 引言应含概的内容 引言作为论文的开头,以简短的篇幅介绍论文的写作背景和目的,缘起和提出研究要求的现实情况,以及相关领域内前人所做的工作和研究的概况,说明本研究与前工作的关系,目前的研究热点、存在的问题及作者的工作意义,引出本文的主题给读者以引导。 引言也可点明本文的理论依据、实验基础和研究方法,简单阐述其研究内容;三言两语预示本研究的结果、意义和前景,但不必展开讨论。前言在内容上应包括:为什么要进行这项研究?立题的理论或实践依据是什么?拟创新点?理论与(或)实践意义是什么?首先要适当介绍历史背景和理论根据,前人或他人对本题的研究进展和取得的成果及在学术上是否存在不同的学术观点。明确地告诉读者你为什么要进行这项研究,语句要简洁、开门见山。如果研究的项目是别人从未开展过的,这时创新性是显而易见的,要说明研究的创新点。但大部分情况下,研究的项目是前人开展过的,这时一定要说明此研究与被研究的不同之处和本质上的区别,而不是单纯的重复前人的工作。2、 前言的写作方法 (1)、开门见山,不绕圈子。避免大篇幅地讲述历史渊源和立题研究过程。 (2)、言简意赅,突出重点。不应过多叙述同行熟知的及教科书中的常识性内容,确有必要提及他人的研究成果和基本原理时,只需以参考引文的形式标出即可。在引言中提示本文的工作和观点时,意思应明确,语言应简练。 (3)、回顾历史要有重点,内容要紧扣文章标题,围绕标题介绍背景,用几句话概括即可;在提示所用的方法时,不要求写出方法、结果,不要展开讨论;虽可适当引用过去的文献内容,但不要长篇罗列,不能把前言写成该研究的历史发展;不要把前言写成文献小综述,更不要去重复说明那些教科书上已有,或本领域研究人员所共知的常识性内容。 (4)、尊重科学,实事求是。在前言中,评价论文的价值要恰如其分、实事求是,用词要科学,对本文的创新性最好不要使用本研究国内首创、首次报道、填补了国内空白、有很高的学术价值、本研究内容国内未见报道或本研究处于国内外领先水平等不适当的自我评语。 (5)、前言的内容不应与摘要雷同,注意不用客套话,如才疏学浅、水平有限、恳请指正、抛砖引玉之类的语言;前言最好不分段论述,不要插图、列表,不进行公式的推导与证明。 (6)、前言的篇幅一般不要太长,太长可致读者乏味,太短则不易交待清楚,一篇3 000一5 000字的论文,引言字数一般掌握在200一250字为宜。 1. 引言书写内容和格式 (1)说明论文的主题、范围和目的。 (3)预期结果或本研究意义。 (4)引言一般不分段,长短视论文内容而定,涉及基础研究的论文引言较长,临床病例分析宜短。国外大多论文引言较长,一般在千字左右,这可能与国外内数期刊严格限制论文字数有关 所谓的引言就是为论文的写作立题,目的是引出下文。一篇论文只有命题成立,才有必要继续写下去,否则论文的写作就失去了意义。一般的引言包括这样两层意思:一是立题的背景,说明论文选题在本学科领域的地位、作用以及目前研究的现状,特别是研究中存在的或没有解决的问题。二是针对现有研究的状况,...... 论文前言写什么,该怎么写? 前言是正文章之前的一章前言的写作应该包括研究综述,提出自己论文的研究范围和研究观点 1.研究综述 写作毕业学术论文一定要有研究综述,也叫综述报告研究综述是梳理本论文研究对象的历史现状发展趋势,并且对这些研究作出评价确定自己研究的逻辑起点,在别人研究的基础上自己将要做的探讨 在审阅的学位论文中,研究综述存在的问题主要表现在:过于简略,缺少分析评价有的只是开列出了别人研究的论著,没有任何分析,以开列篇目代替自己的综述有的研究综述占了整个论文的一半内容,以综述代替自己观点的论述。 2.研究观点 前言除了写作研究综述外,还要陈述自己的研究观点,自己在本论文中将要讨论什么问题,提出的观点是什么对涉及论文观点的关键词作出界定,自己是在什么范围讨论这个问题,怎样使用这个观点这样做,可以使自己的观点明确,重点突出,别人看得明白也避免了对讨论范围和关键词的歧义学位论文的分析方法。不同于学术期刊分析的是学术论文一般遵循两种程序,一是逻辑分析性程序:分析综合,二是系统综合性程序:综合分析综合我认为最好采用系统综合性程序,具有高屋建瓴,提纲挈领的作用综合性程序的前一个综合是前言中,把研究对象看作一个综合体,对自己的观点进行总体描述分析就是在综合的基础上,把各个部分按照章节进行分观点的探讨,每一次分析的结果都反馈到上一层次的综合上后一个综合就是在论文的结语部分,总结全文的研究,概括自己的论文观点。 因此,一般中文期刊或者论文前言提出自己的研究观点,还需要进一步从整体上阐述自己的研究内容,也就是对自己的论述内容做一个系统的总体描述这种总体描述相当于论文的摘要便于读者一目了然地把握自己论文的论述观点和论述内容也为下文进入第一章的论述做准备也许有同学会说,我已经在论文摘要中谈了自己的研究内容,不必在前言中再谈论述内容两者是不同的,前言属于学位论文的正文,在正文中应该对自己的研究内容做一个综合描述读者可以不看你的摘要,但是要看你的正文如果你不在前言对自己的研究内容做一个总体描述,就错过了让读者了解你的论文总貌的一个机会,增加了读者了解你的论文观点的困。半天找不到你的观点是什么读者看不明白,也许就不愿意或者不耐烦看了。 论文的前言要写些什么 引言的主要任务是向读者勾勒出全文的基本内容和轮廓。它可以包括以下五项内容中的全部或其中几项: 介绍某研究领域的背景、意义、发展状况、目前的水平等; 对相关领域的文献进行回顾和综述,包括前人的研究成果,已经解决的问题,并适当加以评价或比较; 指出前人尚未解决的问题,留下的技术空白,也可以提出新问题、解决这些新问题的新方法、新思路,从而引出自己研究课题的动机与意义; 说明自己研究课题的目的; 概括论文的主要内容,或勾勒其大体轮廓。 如何合理安排以上这些内容,将它们有条有理地给读者描绘清楚,并非容易之事。经验告诉我们,引言其实是全文最难写的—部分。这是因为作者对有关学科领域的熟悉程度,作者的知识是渊博、还是贫乏,研究的意义何在、价值如何等问题,都在引言的字里行间得以充分体现。 我们可以将引言的内容分为三到四个层次来安排。第一层由研究背景、意义、发展状况等内容组成,其中还包括某一研究领域的文献综述;第二层提出目前尚未解决的问题或急需解决的问题,从而引出自己的研究动机与意义;第三层说明自己研究的具体目的与内容;最后是引言的结尾,可以介绍一下论文的组成部分。 值得注意的是,引言中各个层次所占的篇幅可以有很大差别。这一点与摘要大不一样,摘要中的目的、方法、结果、结论四项内容各自所占的篇幅大体比例一样。而在引言中,第一个层次往往占去大部分篇幅。对研究背景和目前的研究状况进行较为详细的介绍。研究目的可能会比较简短。 引言与摘要还有一点不同的是,摘要中必须把主要研究结果列出,而在引言中(如果摘要与正文一同登出)结果则可以省略不写,这是因为正文中专门有一节写结果,不必在引言中重复。 比较简短的论文,引言也可以相对比较简短。为了缩短篇幅,可以用一两句话简单介绍一下某研究领域的重要性、意义或需要解决的问题等。接着对文献进行回顾。然后介绍自己的研究动机、目的和主要内容。至于研究方法、研究结果及论文的组成部分则可以完全省略 毕业论文的前言应该怎么写,格式 下面这个毕业论文格式,希望能帮上你,祝成功 毕业设计(论文)写作格式 一、基本结构 毕业论文或设计说明书应由题目(标题)、摘要、目录、前言(引言)、正文、结论、致谢、参考文献和附录等部分构成。 (一)毕业论文的结构 1. 题目:即标题,它的主要作用是概括整个论文的中心内容。题目要确切、恰当、鲜明、简短、精炼。题目一般不超过20个字,可以使用主副标题。 2. 摘要:摘要是论文的高度概括,是长篇论文不可缺少的组成部分。要求用中、英文分别书写,一篇摘要应不少于300字,要注明3—5个关键词。 3. 目录:反映论文的纲要。目录应列出通篇论文各组成部分的大小标题,分别层次,逐项标明页码,幷包括注明参考文献、附录、图版、索引等附属部分的页次,以便读者查找。 4. 前言:前言是相当于论文的开头,它是三段式论文的第一段(后二段是本论和结论)。前言与摘要不完全相同,摘要要写得高度概括、简略,前言稍加具体一些,文字以1000字左右为宜。前言一般应包括以下几个内容:(1)为什么要写这篇论文,要解决什么问题,主要观点是什么。(2)对本论文研究主题范围内已有文献的评述(包括与课题相关的历史的回顾,资料来源、性质及运用情况等)。(3)说明本论文所要解决的问题,所采用的研究手段、方式、方法。明确研究工作的界限和规模。(4)概括本课题研究所取得的成果及意义。 5. 正文:论文的正文是作者对自己的研究工作详细的表述。应包括以下内容:(1)理论分析部分:详细说明所使用的分析方法和计算方法等基本情况;指出所应使用的分析方法、计算方法、实验方法等哪些是已有的,哪些是经过自己改进的,哪些是自己创造的,以便指导教师审查和纠正,篇幅不宜过多,应以简练、明了的文字概略表述。(2)用调查研究的方法达到研究目的的,调查目标、对象、范围、时间、地点、调查的过程和方法等,一定要简述。对调查所提的样本、数据、新的发现等则应详细说明。(3)结果与讨论应恰当运用表和图作结果与分析。论文字数应不少于1.5万字。 6. 结论:结论包括对整个研究工作进行归纳和综合而得出的结论。结论集中反映作者的研究成果,表达作者对所研究课题的见解和主张,是全文的思想精髓,一般写的概括、篇幅较短。撰写时应注意以下几点:(1)结论要简单、明确。在措辞上应严密,容易理解。(2)结论应反映个人的研究工作,属于前人和他人已有过的结论可少提。(3)要实事求是地介绍自己研究的成果,切忌言过其实。 7. 致谢:对于毕业设计(论文)的指导教师,对毕业设计(论文)提过有益的建议或给予过帮助的同学,都应在论文的结尾部分书面致谢,言辞应恳切、实事求是。 8. 参考文献:在论文中所引用、参考过的文献,一般都应列出来。参考文献的着录,按论文中引用顺序排列。参考文献总数论文类不少于10篇、设计类不少于6篇,且都应有外文参考文献。 9. 附录:以下内容可放在附录之内:正文内过于冗长的公式推导;方便他人阅读所需的辅助性数学工具或表格;重复性数据和图表;论文使用的主要符号的意义和单位;程序说明和程序全文。这部分内容可省略。 (二)毕业设计说明书的结构 1. 解决某一工程具体问题的题目属毕业设计,毕业设计的内容包括设计说明书和图纸两部分。 2. 毕业设计说明书是对毕业设计进行解释与说明的书面材料,在写法上应注意与论文的区别是: (1)前言由下面三部分组成:设计的目的和意义,设计项目发展情况简介,设计原理及规模介绍; (2)正文包括方案的论证和主要参数的计算两大部分。 3. 毕业设计绘图量要求:设计类题目绘图量(折合为图幅为0#...... 毕业论文的前言怎么写 前言用在论文的开头。一般要概括地写出作者意图,说明选题的目的和意义, 并指出论文写作的范围。前言要短小精悍、紧扣主题。 毕业论文引言和摘要有什么区别 引言是介绍论文(课题)的缘由,为什么要写这样的一篇文章,或者是根据什么事由,导出了这样的一篇论述,是背景性质的介绍。 摘要是对全篇论文的概要,通过。。。分析,讨论了,。。。。的关系,提出了。。。。。的方法,得出了。。。。。结论。 毕业论文的摘要和引言及总结应该各写什么内容 1、论文题目:要求准确、简练、醒目、新颖。 2、目录:目录是论文中主要段落的简表。(短篇论文不必列目录) 3、提要:是文章主要内容的摘录,要求短、精、完整。字数少可几十字,多不超过三百字为宜。 4、关键词或主题词:关键词是从论文的题名、提要和正文中选取出来的,是对表述论文的中心内容有实质意义的词汇。关键词是用作机系统标引论文内容特征的词语,便于信息系统汇集,以供读者检索。 每篇论文一般选取3-8个词汇作为关键词,另起一行,排在“提要”的左下方。 主题词是经过规范化的词,在确定主题词时,要对论文进行主题,依照标引和组配规则转换成主题词表中的规范词语。 5、论文正文: (1)引言:引言又称前言、序言和导言,用在论文的开头。 引言一般要概括地写出作者意图,说明选题的目的和意义, 并指出论文写作的范围。引言要短小精悍、紧扣主题。 〈2)论文正文:正文是论文的主体,正文应包括论点、论据、 论证过程和结论。主体部分包括以下内容: a.提出-论点; b.分析问题-论据和论证; c.解决问题-论证与步骤; d.结论。 6、一篇论文的参考文献是将论文在和写作中可参考或引证的主要文献资料,列于论文的末尾。参考文献应另起一页,标注方式按《GB7714-87文后参考文献着录规则》进行。 中文:标题--作者--出版物信息(版地、版者、版期):作者--标题--出版物信息所列参考文献的要求是: (1)所列参考文献应是正式出版物,以便读者考证。 (2)所列举的参考文献要标明序号、著作或文章的标题、作者、出版物信息。 论文的摘要和前言有什么区别? 摘要一般包括以下几部分: 1、研究背景和意义; 2、全文的总体思路概括; 3、主要研究成果(分条叙述,是重点,清晰告诉别人你都研究出什么来了); 4、创新之处(也很重要,言简意赅,你与别人研究的不同之处,证明你不是抄袭); 5、关键词 如报考二级心理咨询师的论文对摘要有明确的要求: 摘要应简明扼要地概括文章的主要内容,一般不超过500字。 一篇学术论文的引言,大致包含如下几个部分: 1、问题的提出; 2、选题背景及意义; 3、文献综述; 4、研究方法; 5、论文结构安排。 问题的提出:讲清所研究的问题“是什么”。 选题背景及意义:讲清为什么选择这个题目来研究,即阐述该研究对学科发展的贡献、对国计民生的理论与现实意义等。 文献综述:对本研究主题范围内的文献进行详尽的综合述评,“述”的同时一定要有“评”,指出现有研究成果的不足,讲出自己的改进思路。 研究方法:讲清论文所使用的科学研究方法。 论文结构安排:介绍本论文的写作结构安排。  论文的摘要和前言都是什么意思啊~~ 摘要是论文内容的简介,其要素有4个(目的、方法、结果和结论),必要时也可有其他重要的信息。 前言是正文“章”之前的一章。前言的写作应该包括研究综述,提出自己论文的研究范围和研究观点。 本科毕业论文的前言怎么写? 1、论文题目:要求准确、简练、醒目、新颖。 2、目录:目录是论文中主要段落的简表。(短篇论文不必列目录) 3、提要:是文章主要内容的摘录,要求短、精、完整。字数少可几十字,多不超过三百字为宜。 4、关键词或主题词:关键词是从论文的题名、提要和正文中选取出来的,是对表述论文的中心内容有实质意义的词汇。关键词是用作机系统标引论文内容特征的词语,便于信息系统汇集,以供读者检索。 每篇论文一般选取3-8个词汇作为关键词,另起一行,排在“提要”的左下方。 主题词是经过规范化的词,在确定主题词时,要对论文进行主题,依照标引和组配规则转换成主题词表中的规范词语。 5、论文正文: (1)引言:引言又称前言、序言和导言,用在论文的开头。 引言一般要概括地写出作者意图,说明选题的目的和意义, 并指出论文写作的范围。引言要短小精悍、紧扣主题。 〈2)论文正文:正文是论文的主体,正文应包括论点、论据、 论证过程和结论。主体部分包括以下内容: a.提出-论点; b.分析问题-论据和论证;c.解决问题-论证与步骤; d.结论。 6、一篇论文的参考文献是将论文在和写作中可参考或引证的主要文献资料,列于论文的末尾。参考文献应另起一页,标注方式按《GB7714-87文后参考文献着录规则》进行。 中文:标题--作者--出版物信息(版地、版者、版期):作者--标题--出版物信息 所列参考文献的要求是: (1)所列参考文献应是正式出版物,以便读者考证。 (2)所列举的参考文献要标明序号、著作或文章的标题、作者、出版物信息。

汽车转向灯毕业论文设计

我以前做过类似的论题,朋友若是能给点辛苦费,我来帮你完成。

毕业设计开题报告 题 目:论新型能源发展与环境保护关系分析 院 部:专 业: 汽车检测与维修 学 号: 学生姓名: 指导教师: 二O一O年 四 月 十二 日 一、题目:论新型能源发展与环境保护关系分析二、题目来源:网络参考三、题目类型:毕业设计四、[摘 要]:机动车业的发展和普及,为人们的生活带来许多方便。但是,随着机动车数量的不断增加,排气污染对城市环境的影响越来越明显。对机动车污染现状的分析,探讨如何控制机动车排放物的措施和方法。将针对城市环境污染,新能源汽车,和新型能源汽车发展与环境保护关系展开讨论,提出相关新型能源汽车在环境保护方面的建议。 五、[关键词]:环境污染,汽车废气,新能源汽车六、阅读的主要参考资料:[1]康龙云.新能源汽车与电力电子技术. 北京:机械工业出版社 2006.07 [2]边耀璋. 汽车新能源技术. 北京:人民交通出版社 2007.03[3]邵毅明. 压缩天然气汽车改装与维修. 北京:人民交通出版社 2004.09[4]蔡凤田. 汽车节能与环保实用技术. 北京:人民交通出版社 2005.01[5]崔胜民. 新能源汽车技术. 北京:北京大学出版社 2009.09[6]绍毅明. 汽车新能源与节能技术. 北京:人民交通出版社 2008.08 [7]黄家诚. 汽车新能源技术. 北京:人民交通出版社 2009.06七、解决思路通过查找资料,最终实现理论与实际相结合,达到真正数据的准确,操作的可行。八、设计的主要阶段与进度安排1.2010年3月10日至3月15日:毕业论文选题目。2.2010年3月16日至2010年3月30日:阅读相关资料和网络上考察。3.2010年4月1日至4月10日:根据阅读和考察的资料开始撰写论文。4.2010年4月11日至4月12日:修改并装订论文。九、指导老师审阅意见 。。。。。。。。。。。。毕业论文任务书 汽检 专业 2007级1、毕业论文(设计)题目:论新型能源发展与环境保护关系分析 2、学生完成全部任务期限: 2010 年 04 月 12 日3、任务要求:(1)进程要求1提出选题的初步设想。2搜集、整理与毕业设计或论文有关的、充分的、准确的信息资料,扩充查阅范围。3分析、筛选已有的信息资料,提出研究设想与计划。4向指导教师提出开题报告(见附页)。5 构思论文框架,编写论文提纲,撰写论文初稿。6 提请指导老师审阅,并根据老师的指导意见做进一步修订,装订成册。(2)成果要求1 毕业设计应提交设计图纸和相应的说明书。图纸须规范、完整、清晰、正确,格式符合国家标准的要求;说明书须规范、详实,应包括:任务书、开题报告、正文(摘要、正文内容,结语,参考文献)、附录等。书写认真、清楚,字数不少于8000字。主要包括:前言、摘要、正文内容2 毕业论文应包括:任务书、开题报告、正文(前言、摘要、关键词,正文内容、结语、参考文献)、附录等;书写认真、清楚,字数以15000字左右为宜。4、实验(调研)部分内容要求:(1)实验内容与论文题目一致,数据真实。(2)调研内容详实,调研结论应具备普遍性。5、文献查阅及翻译要求:(1)参考文献应与论文内容相一致。(2)参考文献不少于8篇。(3)参考文献的格式参考抚顺职业技术学院毕业论文格式要求。(4)翻译文献应与原文内容一致。6、发出日期: 2009年 2月 27日 指 导 教 师: (签名)学 生: (签名) 论新型能源发展与环境保护关系分析 毕业院校: 系 别:机电系专 业:汽车检测与维修指导老师: 姓 名: 学 号: [摘 要]:机动车业的发展和普及,为人们的生活带来许多方便。但是,随着机动车数量的不断增加,排气污染对城市环境的影响越来越明显。对机动车污染现状的分析,探讨如何控制机动车排放物的措施和方法。将针对城市环境污染,新能源汽车,和新型能源汽车发展与环境保护关系展开讨论,提出相关新型能源汽车在环境保护方面的建议。 [关键词]:环境污染,汽车废气,新能源汽车 目 录前 言----------------------------------------------------------11.汽车不断增加造成城市空气污染------------------------------22.机动车尾气排放中的主要污染物的危害及产生机理-----------22.1一氧化碳(CO)- ----------------------------------------------22.2氮氧化物(NOx)---------------------------------------------- 32.3碳氢化合物 -------------------------------------------------32.4铅----------------------------------------------------------33.汽车污染对人体健康的危害-----------------------------------34.新型能源汽车种类与原理-------------------------------------44.1醇类燃料汽车------------------------------------------------44.2醚类燃料汽车------------------------------------------------44.3气动汽车----------------------------------------------------44.4以植物油为燃料的汽车----------------------------------------54.5太阳能汽车--------------------------------------------------54.6纯电动汽车--------------------------------------------------54.7池汽车------------------------------------------------------64.8混合动力汽车------------------------------------------------65.中国的能源生产能力有多少,能源制品到底有多少------------76.中国石油紧缺到什么程度,解决石油问题的出路何在---------87.能源节约的作用到底有多大------------------------------98.中国重视新型能源清洁汽车的研制------------------------99.中国新能源产业前景乐观------------------------------------10 10.新型能源轿车环保技术大盘点------------------------------10 10.1混合动力车------------------------------------------------1010.2CNG双燃料车-----------------------------------------------1010.3氢燃料电池车----------------------------------------------1011.新能源汽车目前发展现状------------------------------1012.新能源汽车离百姓多远--------------------------------11 总结------------------------------------------------------------12 参考文献--------------------------------------------------------13 前 言从1885年世界上第一辆内燃机汽车诞生以来,石油燃料汽车推动了人类一百多年来的汽车文明,为社会的进步作出了巨大贡献,这些都是客观存在的事实,不容否定。但汽车也与世间其他任何事物一样,具有两面性,这就是在为人类带来巨大利益的同时,也产生了不可忽视的负面影响。汽车污染主要是指汽车尾气的污染,其次是噪声污染一、汽车尾气 中国预防医学科学院最近对汽车尾气作了详尽分析,发现汽车尾气含有上千种化学物质,但主要成分是: 一氧化碳 它是燃油不充分燃烧的产物。汽车对环境的污染不可小视。 发展新型能源汽车保护环境的问题已迫在眉睫。1.汽车不断增加造成城市空气污染经过20年的改革开放,中国私人汽车数量迅速增加,汽车开始进入普通人的家庭生活。2001年后加入世界贸易组织(WTO),中国已经将汽车的进口关税从70-90%降低到44-51%,到2005年将进一步降低到25%。随着汽车价格的下降以及中国人较低的汽车拥有量,中国的汽车市场将会进一步繁荣。从而使汽车废气排放问题更加严重。中国有2000万辆汽车和1亿辆摩托车,而其中大多数都在城市。在城市环境污染物中,汽车所排放的氮氧化物占到了45至60%,而一氧化碳则占到了85%。因此,中国城市居民所吸入的劣质空气主要是由汽车所排放的废气造成的。我国现在的能源结构以煤炭为主,近年来煤炭消费量已占能源消费总量的75%以上。由于煤炭消费量的80%是原煤直接燃烧,由此造成的环境污染问题,已经影响到了国民经济的可持续发展。改善以燃煤为主的能源消费结构,是我国发展经济和保护环境的迫切要求。但是,中国以煤为主的能源消费结构是由能源资源条件决定的。在中国的能源资源中,煤炭占绝对的优势。若以常规能源资源总量为100,那么煤炭资源量在85以上,水能占12,石油和天然气仅占2-3。长期以来我国形成的能源生产格局就是以煤炭为主,未来煤炭工业仍将在整个能源过程中发挥不可替代的作用。为了完成《“十一五”计划和2010年远景目标纲要》提出的“改善能源生产和消费结构”的任务,我们应当着重在煤炭生产、加工和利用上作文章,其重点是提高原煤的入洗比例,减少原煤直接燃烧的数量,增加煤炭用于发电、制气等二次能源生产的数量,加快洁净煤技术的研究和应用。其核心是通过结构优化,提高能源利用的经济效益,最大限度地减轻环境污染,使经济与环境保持协调的可持续发展。2.机动车尾气排放中的主要污染物的危害及产生机理2.1一氧化碳(CO)CO是一种化学反应能力低的无色无味的窒息性有毒气体,对空气的相对密度为0.9670,它的溶解度很小。吸入过量的CO会使人发生气急、嘴唇发紫、呼吸困难甚至死亡。长期吸入CO对城市居民身体健康是一个潜在威胁。其生成主要受混合气浓度的影响,在局部缺氧或低温条件下,燃烧中的碳不能完全氧化生成C02,而CO作为中间产物生成。 2.2氮氧化物(NOx) NOx是在内燃机汽缸内大部分气体中生成的,NOx的排放量取决于燃烧温度、时间和空燃比等因素。氮氧化合物进人肺泡后,能形成亚硝酸和硝酸,对肺组织产生剧烈的刺激作用,增加肺毛细管的通透性,最后造成肺气肿。 2.3碳氢化合物 碳氢化合物尽管在汽车尾气中含量不多,但其构成成分中含有一种已被世界公认的强致癌物质。 2.4铅 汽车主要靠燃烧汽油(柴油)行驶.而汽油是一种易燃易爆的液体,为了防止爆炸,人们往往在汽油里添加一种抗爆剂——四乙基铅。汽车尾气中的铅很容易通过血液长期蓄积于人的肝、肾、脾、肺和大脑中,进而产生慢性危害,尤其是铅,一旦进入人的大脑组织,便紧紧粘附在脑细胞的关键部位,从而导致人的智能发育障碍和血红素制造障碍等后果。 3汽车污染对人体健康的危害 汽车污染主要是指汽车尾气的污染,其次是噪声污染一、汽车尾气 中国预防医学科学院最近对汽车尾气作了详尽分析,发现汽车尾气含有上千种化学物质,但主要成分是: 一氧化碳 它是燃油不充分燃烧的产物。车速越慢,排放量越多,大城市中90%的一氧化碳来自汽车尾气。它与人体血红蛋白的结合能力是氧气的250倍;对人的呼吸和循环系统危害严重。氮氧化物 其中主要是在高温燃烧条件下生成的二氧化氮。它对人和植物都有很强的毒性,能引起呼吸道感染和哮喘,使肺功能下降。它还会与碳氢化合物一起生成光化学烟雾,损伤人的眼睛。柴油机车辆排放的氨氮化物远比汽油机车辆严重,废气中的颗粒物比汽油机车高2-40倍。 苯并а芘 目前已从汽车尾气中分离出300多种环芳烃化合物,其中苯并а芘是公认的强致癌物质,在交通繁忙路口及其附近,苯并а芘污染特别严重。 铅 长期吸入含铅空气,可以引起慢性铅中毒,症状有头痛、头昏、全身无力、失眠、记忆力减退等。此外,还有甲醛、二氧化硫等多种有毒物质。 二、噪声 汽车发动机产生的噪声会对人的听力、生理功能等造成不良影响,使人烦躁不安,长期生活在噪声污染严重的环境中会影响学习、工作和健康。4新型能源汽车种类与原理 4.1醇类燃料汽车 醇类燃料汽车以甲醇、乙醇等醇类物质为燃料,有良好的汽化性和可燃性,是燃油很好的等效替代品。甲醇在与汽油均匀搀混以实现长期稳定使用时必须选用相应的添加剂,以抑制其所表现的极性与活性。而乙醇的制取技术相对更成熟,其最新的技术可利用几乎所有的农林废弃物、城市生活有机垃圾和工业有机废弃物作为原料,使用较广泛。它可以与汽油或柴油以任意比例掺和的灵活燃料驱动,既不需要改造发动机,又具有较高的热效率,能起到良好的节能、降污效果,使汽车尾气污染减少30%以上。当然这种掺和燃料如要获得与汽油或柴油相当的功率,则必须加大燃油喷射量,并相应改变发动机的压缩比和点火提前角。现在国际上用玉米、小麦、糖蜜作乙醇,再勾兑乙醇汽油的技术已经比较成熟。美国在20世纪70年代起用玉米造乙醇汽油,到2003年底已拥有230多万辆乙醇汽车。而巴西的汽车更是全部都用乙醇汽油作为清洁燃料。 4.2醚类燃料汽车 醚类燃料汽车主要指的是二甲醚汽车(DMEV),使用二甲醚(DME)作为燃料。DME是一种无色无味的气体,具有优良的燃烧性能,动力性能好,稍加压即为液体,非常适合作为压燃式发动机的代用能源。同时,DMEV清洁、污染少,不会排放黑色气体污染环境,产生的NOX比柴油少20%,可达到美国加州的超低排放标准。日本NKK公司早已成功开发出用劣质煤生产二甲醚的设备,并且和住友金属工业公司于1998年完成了用二甲醚作为汽车燃料的试验。 4.3气动汽车 气动汽车是以压缩空气、液态空气、液氮等为介质,通过吸热膨胀做功来供给驱动能量的汽车。它不发生燃烧或其他化学反应,排放的是无污染物辐射的空气或氮气,真正实现了零污染。目前开发比较成功的有压缩空气动力汽车(APV),能量来源于方便、清洁的高压空气,对发动机材料要求低,结构简单,研发周期短,社会基础设施建设费用也不高,设计和建造都比较容易。但缺点是能量密度和能量转换率还不够高,续驶里程仍然较短,其整车性能与传统汽车相差太远,只能在较小的范围内应用于特定场合。2000年MDI公司推出的APV质量仅700kg,速度达120km/h,一次充满压缩空气可行驶200km,充气费用仅为0.3美元。 我自己写的,绝对原稿。。。。

文章什么要求啊字数了?

汽车尾灯控制电路毕业论文

摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。关键词:电路 单行线制 系统 导线 各种车灯目录:(1)全车线路的连接原则(2)识读电路图的基本要求(3)以东风EQ1090型载货汽车线路为例全车线路的认读a.电源系统线b.起动系统线路c.点火系统线路d.仪表系统线路e.照明与信号系统线路(4)全车电路的导线(5)识读图注意事项论汽车电路的识读方法在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ieee.std_logic_1164.all;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use ieee.std_logic_1164.all使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月2009.12.27library ieee;use ieee.std_logic_1164.all;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ieee.std_logic_1164.all;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

文凭、学历、职称说了算,全盘引进。90年代低水平重复研究,相互封锁、互相敌对,从低端产品开始就保密、同类产品只要是不同厂家的,同功能的部件特意做成没有互换性,极大地消耗社会资源,损坏消费者的利益,人为制造麻烦,制造维修困难,一切障碍就是为了多赚钱、多钱。本人向国家、省、市科技馆,都提出提供创新展项,要对参观者完全公开全部设计、加工、装配、调整资料,公布原材料、器材、配件采购地点,允大学是干嘛的地方?无论多高的学历和职称,不会设计、制造教具,不会设计、制造教学仪器,不会维修仪器和设备;用你父母的钱进口教学仪器模仿了委托工厂仿制就是佼佼者;用你父母的钱请校外的人来维修设备、从校外采购配件;用你父母的钱请教学仪器生产企业提供教学实验讲义,将作者填上他们的名字就有教学突出成就奖;教你背诵的公式和外语,永远也比不上美国麻省理工学院在网上公开的教材内容。学生也不要埋怨学费贵,除了上面教师的原因,你们自己的基础实验、专业课就上的迷迷糊糊的,高额投资下的创新实验项目、挑战杯、科技竞赛、毕业论文、产学研、科技奖、商业开发,都见不得阳光,将真金白银变成了一堆堆的垃圾!!!!现在的大学生、研究生本身就没有信用!!!成天想着做“项目”,充其量就是下载别人的设计、翻阅外文资料、组织活动、制造气氛、做小生意等等,在校园内就是花钱、钱的!!!!!!!!!!!!!!允许参观者下载这些资料,大约是没有回扣给*****,所以就算本人愿意赠送,也无人理睬。sci对于大学生有何帮助?我们如何利用它?谢谢大家报告首长:应该是大写的英文字母SCI、EI。他们能帮助你们考研、赚钱、找工作、添加荣誉、为母校争光、使学校对你们增加投资。同时也败坏了学风、促使抄袭早就蔓延到绝大部分本科毕业论文、给社会和国家制造巨大潜在的社会危机、卖国无良教授专家社会精英绑架了政府、迫使政府社会个人注入巨额资金、抬高了学费和国家投入、大量采购教学科研仪器造成了设备空闲、完好率低、维修费用高、仪器设备淘汰极快、使用率低下、社会成本教学成本居高不下、教师取了高额的报酬、大量进口大型精密仪器试剂、无收益地消耗了大量的社会资源,并且形成了声势越来越浩大的恶性循环,其实质,就是敌对国家通过在中国的代理人—汉奸不法知识分子制造的类似星球大战对社会在冠冕堂皇光环下的腐蚀和破坏,是境外超级大国散布的理论病毒,危害国家安全!!!更高明的黑招数是国外敌对势力的代理人假惺惺地诱导我们将国力投入争取诺贝尔奖的空中楼阁,做那些荒诞不经的课题,还诱你就差那么一点点,再进口国外最先进的分析仪器设备、到发达国家那些顶级大学培训、入学,就能实现你们梦寐以求的最高境界。这是卖国贼制造的一场阴谋,妄想迷惑、破坏国家的乌托邦幻想;是挖掘黑洞和陷阱,是巨额消耗国力、通过各校重奖发表三大检索论文的知识分子来绑架zhengfu的蛊惑人心的宣传伎俩。现在科技论文可以代表国家的创新水平,即将获得诺贝尔奖。知识分子依靠国外的科技文献资料、进口设备和试剂编造的论文一经发表,可以提职称,有奖金到手,受益者都乐颠颠的,他们所吹嘘中国的各种论文已经神秘有加、诡谲不测、神乎其神、天花乱坠,是境外敌视中国的外部势力和他们伙同、豢养的中国国内投机分子、国内利益集团代言人、社会精英联手炮制的国家科技发展唯一方向,蛊惑人心,诱使中国政府走向破财的道路,都是圈套,诱惑中国大量购买先进仪器、出国留学和培训,挖空、亏空国库,败坏学分,加速腐败的黑招数。这就是毕业生找工作难、企业产品在国际上的竞争力差、只能做系统集成、在基础工业能力没有实质提高,依然落后于发达国家数十年、甚至连30年前的中国基础水平都不如、社会矛盾剧烈的根本原因。所以,对于中国有实质性意义的真招、实干,是用中国的资源,从基础制造出具有国际竞争力的产品,而且是优先国民消费。用巨额社会资源、进口设备、进口集成电路砸政绩为目的来引进人才,太危险了!!!要严格整肃!毫不留情!三大检索论文奖励不得超过千元,不能将国内生产的材料、器材转变成在国际上领先的商品,而依靠采购国外器件、试剂以系统集成方式拼凑的论文,是将真金白银变成垃圾,是帝国主义的圈套、理论病毒、极大地消耗国家资源,严重助长腐败,要挟政府,危害政权。因此,中国教育的出路不是泛泛的开放,现在已经太民主自由了,而是从问题俯拾皆是的基础做起,扎实才是根本之道。张鸣先生说:各种评审的指标体系,如核心期刊论文数量,国际SCI、EI论文数量,国家级课题数量,省部级课题数量,课题经费总量等等,实际上只是具有中国学术特色的自娱自乐。中国所谓的学术核心期刊,其学术品质,原本就是周知的,但是,在各个高校发疯追求论文数量的情况下,有某大学带头发明了硬性规定研究生发表核心期刊论文作为毕业前提条件的方法,人为拉高学校的论文发表数量,其他学校纷纷跟进,使这种本质上违法的行为,成为高校的新惯例。研究生做不出论文,就买,不仅买论文,而且买版面,各个学术期

我像是会在这些的呢...?

汽车尾灯控制系统毕业论文

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ieee.std_logic_1164.all;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use ieee.std_logic_1164.all使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月2009.12.27library ieee;use ieee.std_logic_1164.all;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ieee.std_logic_1164.all;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

你好啊,你的汽车尾灯控制电路开题报告选题定了没?开题报告选题老师同意了吗?准备往哪个方向写?开题报告学校具体格式准备好了没?准备写多少字还有什么不懂不明白的可以问我,希望可以帮到你,祝开题报告选题顺利通过,毕业论文写作过程顺利。开题报告的撰写方法一、开题报告的含义与作用开题报告,就是当课题方向确定之后,课题负责人在调查研究的基础上撰写的报请上级批准的选题计划。它主要说明这个课题应该进行研究,自己有条件进行研究以及准备如何开展研究等问题,也可以说是对课题的论证和设计。开题报告是提高选题质量和水平的重要环节。研究方案,就是课题确定之后,研究人员在正式开展研之前制订的整个课题研究的工作计划,它初步规定了课题研究各方面的具体内容和步骤。研究方案对整个研究工作的顺利开展起着关键的作用,尤其是对于我们科研经验较少的人来讲,一个好的方案,可以使我们避免无从下手,或者进行一段时间后不知道下一步干什么的情况,保证整个研究工作有条不紊地进行。可以说,研究方案水平的高低,是一个课题质量与水平的重要反映。二、写好研究方案应做的基础性工作写好研究方案一方面要了解它们的基本结构与写法,但“汝果欲学诗,功夫在诗外”,写好开题报告和研究方案重要还是要做好很多基础性工作。首先,我们要了解别人在这一领域研究的基本情况,研究工作最根本的特点就是要有创造性,熟悉了别人在这方面的研究情况,我们才不会在别人已经研究很多、很成熟的情况下,重复别人走过的路,而会站在别人研究的基础上,从事更高层次、更有价值的东西去研究;其次,我们要掌握与我们课题相关的基础理论知识,理论基础扎实,研究工作才能有一个坚实的基础,否则,没有理论基础,你就很难研究深入进去,很难有真正的创造。因此,我们进行科学研究,一定要多方面地收集资料,要加强理论学习,这样我们写报告和方案的时候,才能更有把握一些,制定出的报告和方案才能更科学、更完善。三、课题研究方案的结构与写法 课题研究方案主要包括以下几个方面:(一)课题名称课题名称就是课题的名字。这看起来是个小问题,但实际上很多人写课题名称时,往往写的不准确、不恰当,从而影响整个课题的形象与质量。这就是平常人们所说的“只会生孩子,不会起名字”。那么,如何给课题起名称呢?第一,名称要准确、规范。准确就是课题的名称要把课题研究的问题是什么,研究的对象是什么交待清楚,课题的名称一定要和研究的内容相一致,不能太大,也不能太小,要准确地把你研究的对象、问题概括出来。规范就是所用的词语、句型要规范、科学,似是而非的词不能用,口号式、结论式的句型不要用。因为我们是在进行科学研究,要用科学的、规范的语言去表述我们的思想和观点。课题就是我们要解决的问题,这个问题正在探讨,正开始研究,不能有结论性的口气。第二,名称要简洁,不能太长。 不管是论文或者课题,名称都不能太长,能不要的字就尽量不要,一般不要超过20个字。这次各个学校课题申报表中,我看名称都比较简洁,我就不再多说了。(二) 课题研究的目的、意义研究的目的、意义也就是为什么要研究、研究它有什么价值。这一般可以先从现实需要方面去论述,指出现实当中存在这个问题,需要去研究,去解决,本课题的研究有什么实际作用,然后,再写课题的理论和学术价值。这些都要写得具体一点,有针对性一点,不能漫无边际地空喊口号。不要都写成是坚持党教育方针、实施素质教育、提高教育教学质量等一般性的口号。主要内容包括:⑴ 研究的有关背景(课题的提出):即根据什么、受什么启发而搞这项研究。 ⑵ 通过分析本地(校) 的教育教学实际,指出为什么要研究该课题,研究的价值,要解决的问题。(三)本课题国内外研究的历史和现状(文献综述)。规范些应该有,如果是小课题可以省略。一般包括:掌握其研究的广度、深度、已取得的成果;寻找有待进一步研究的问题,从而确定本课题研究的平台(起点)、研究的特色或突破点。 参考总课题报告。(四)课题研究的指导思想指导思想就是在宏观上应坚持什么方向,符合什么要求等,这个方向或要求可以是哲学、政治理论,也可以是政府的教育发展规划,也可以是有关研究问题的指导性意见等。对于范围比较大,时间又很长的课题来讲,大家在总的方面,有了一个比较明确的指导思想,就可以避免出现理论研究中的一些方向性错误。这里,我给大家介绍一下何老师在《佛山市教育现代化进程》研究方案里写的课题指导思想里的一段话:“这一课题研究要依据党中央和国家要求,依据广东省委省政府的决定,依据佛山市委市政府的决定,结合国情、市情和佛山市教育改革与发展的实际,……力求揭示佛山市教育现代化进程的规律及表现形式,为佛山市教育现代化实践服务”。另外,还有一份供大家参考一下,广东省教育科研“九五”规划重点课题《学科教学与素质教育》研究和实验方案里面,课题指导思想这样写:“坚持以马克思主义、毛泽东思想和邓小平理论为指导,从我国经济领域实现“两个转变”和我省2010年基本实现现代化对基础教育的要求出发,针对在中小学学科教学中实施素质教育的有关理论和实践问题,开展全方位的改革实验和理论研究,有效指导广大中小学教师在学科教学中深入教学改革,全面贯彻教育方针,全面提高教育质量,从而推进我省基础教育事业向前发展,为把广东建成教育强省作出贡献 ”。(五) 课题研究的目标课题研究的目标也就是课题最后要达到的具体目的,要解决哪些具体问题,也就是本课题研究要达到的预定目标:即本课题研究的目标定位,确定目标时要紧扣课题,用词要准确、精练、明了。相对于目的和指导思想而言,研究目标是比较具体的,不能笼统地讲,必须清楚地写出来。只有目标明确而具体,才能知道工作的具体方向是什么,才知道研究的重点是什么,思路就不会被各种因素所干扰。常见存在问题是:不写研究目标;目标扣题不紧;目标用词不准确;目标定得过高, 对预定的目标没有进行研究或无法进行研究。确定课题研究目标时,一方面要考虑课题本身的要求,另一方面要考虑课题组实际的工作条件与工作水平。(六)课题研究的基本内容我们有了课题的研究目标,就要根据目标来确定我们这个课题具体要研究的内容,相对研究目标来说,研究内容要更具体、明确。并且一个目标可能要通过几方面的研究内容来实现,他们不一定是一一对应的关系。大家在确定研究内容的时候,往往考虑的不是很具体,写出来的研究内容特别笼统、模糊,把研究的目的、意义当作研究内容,这对我们整个课题研究十分不利。因此,我们要学会把课题进行分解,一点一点地去做。 基本内容一般包括:⑴对课题名称的界说。应尽可能明确三点:研究的对象、研究的问题、研究的方法。⑵本课题研究有关的理论、名词、术语、概念的界说。(七)课题研究的方法1、本课题研究是否要设定子课题。 各子课题既要有一定的相对独立性,又要形成课题系统。作为省、市级课题,最好设定子课题。形成全校的课题研究系统。2、具体的研究方法可从下面选定: 观察法、调查法、实验法、经验总结法、 个案法、比较研究法、文献资料法等。如要研究学生实践能力的现状必定离不开调查法; 要研究如何优化小学生个性宜采用实验法;要研究如何对青年教师进行培养可采用经验总结法;要研究问题家庭学生的教育对策可采用个案法等等。3、确定研究方法时要叙述清楚“做些什么” 和“怎样做” 。如要用调查法,则要讲清调查的目的、任务、对象、范围、调查方法、问卷的设计或来源等。最好能把调查方案附上。4、提倡使用综合的研究方法。 一个大的课题往往需要多种方法,小的课题可能主要是一种方法,但也要利用其它方法。我们在应用各种方法时,一定要严格按照方法的要求,不能不三不四,凭经验、常识去做。比如,我们要通过调查了解情况,我们如何制订调查表,如何进行分析,不是随随便便发张表,搞一些百分数、平均数就行了。突出介绍行动研究法。(八)课题研究的步骤课题研究的步骤,也就是课题研究在时间和顺序上的安排。研究的步骤要充分考虑研究内容的相互关系和难易程度,一般情况下,都是从基础问题开始,分阶段进行,每个阶段从什么时间开始,至什么时间结束都要有规定。课题研究的主要步骤和时间安排包括:整个研究拟分为哪几个阶段;各阶段的起止时间;各阶段要完成的研究目标、任务;各阶段的主要研究步骤;本学期研究工作的日程安排等。(九)课题研究的成果形式本课题研究拟取得什么形式的阶段研究成果和终结研究成果。形式有很多,如调查报告、实验报告、研究报告、论文、经验总结、调查量表、测试量表、微机软件、教学设计、录像带等,其中调查报告、研究报告、论文是课题研究成果最主要的表现形式。 课题不同,研究成果的内容、形式也不一样,但不管形式是什么,课题研究必须有成果,否则,就是这个课题就没有完成。(十)课题研究的组织机构和人员分工在方案中,要写出课题组长、副组长、课题组成员以及分工。课题组组长就是本课题的负责人。一个课题组应该包括三方面的人,一是有权之士,二是有识之士,三是有志之士。有权了课题就可以得到更多的支持,有识了课题质量、水平就会更高,有志了可以不怕辛苦,踏踏实实踏实实去干。课题组的分工必须是要分得明确合理,争取让每个人了解自己工作和责任,不能吃大锅饭。但是在分工的基础上,也要注意全体人员的合作,大家共同研究,共同商讨,克服研究过程中的各种困难和问题。(十一)其他有关问题或保障机制如课题组活动时间; 学习什么有关理论和知识,如何学习,要进行或参加哪些培训; 如何保证研究工作的正常进行; 课题经费的来源和筹集; 如何争取有关领导的支持和专家的指导; 如何与校外同行交流等。四、注意三点:1、要学会搜集和获取信息。处处留心皆学问(积累)。2、要多学习,多借鉴。集思广益开眼界(学习与借鉴)。3、创新。登高望远多创意(创新)。

关于汽车前照灯的毕业论文

第一部分 摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。 汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。 关键词:电路 单行线制 系统 导线 各种车灯 目录:(1)全车线路的连接原则 (2)识读电路图的基本要求 (3)以东风EQ1090型载货汽车线路为例全车线路的认读 a.电源系统线b.起动系统线路c.点火系统线路 d.仪表系统线路e.照明与信号系统线路 (4)全车电路的导线 (5)识读图注意事项 论汽车电路的识读方法 在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。 一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。 蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。 汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。 灯光照明电路是指控制组合开关、前大灯和小灯的电路系统;信号电路是指控制组合开关、转弯灯和报警灯的电路系统;仪表电路是指点火开关、仪表板和传感器电路系统;启动电路是指点火开关、继电器、起动机电路系统;充电电路是指调节器、发电机和蓄电池电路系统。以上电路系统是必不可少的,构成全车电路的基本部分。辅助电路是指控制雨刮器、音响等电路系统。随着汽车用电装备的增加,例如电动座椅、电动门窗、电动天窗等,各种辅助电路将越来越多。 旧式汽车电路比较简单,一般情况下,它们的正极线(俗称火线)分别与保险丝盒相接,负极线(俗称地线)共用,重要节点有三个,保险丝盒、继电器和组合开关,绝大部分电路系统的一端接保险丝或开关,另一端联接继电器或用电设备。但在现代汽车的用电装置越来越多的情况下,线束将会越来越多,布线将会越来越复杂。随着汽车电子技术的发展,现代汽车电路已经与电子技术相结合,采用共用多路控制装置,而不是象旧式汽车那样通过单独的导线来传送。 使用多路控制装置,各用电负载发送的输入信号通过电控单元(ECU)转换成数字信号,数字信号从发送装置传输到接收装置,在接收装置转换成所需信号对有关元件进行控制。这样就需在保险丝、开关和用电设备之间的电路上添加一个多路控制装置(参阅广州雅阁后雾灯线路简图)。采用多路控制线路系统可。 第二部分 第二部分简要介绍了全车线路识读的原则、要求与方法以及电路用线的规格。主要针对其在东风EQ1090车型 汽车电路与电器系统应用情况作了概括性的阐述。其包括了电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等主要部分进行了说明。通过对东风EQ1090车型的系统学习,为以后接触到各类不同车型打下个坚实的基础。 一、全车线路的连接原则 全车线路按车辆结构形式、电器设备数量、安装位置、接线方法不同而各有不同,但其线路一般都以下几条原则: (1)汽车上各种电器设备的连接大多数都采用单线制; (2)汽车上装备的两个电源(发电机与蓄电池)必须并联连接; (3)各种用电设备采用并联连接,并由各自的开关控制; (4)电流表必须能够检测蓄电池充、放电电流的大小。因此,凡是蓄电池供电时,电流都要经过电流表与蓄电池构成的回路。但是,对于用电量大且工作时间较短的起动机电流则例外,即启动电流不经过电流表; (5)各型汽车均陪装保险装置,用以防止发生短路而烧坏用电设备。 了解上面的原则,对分析研究各种车型的电器线路以及正确判断电器故障很有帮助。 二、基本要求 一般来讲全车电路有三种形式,即:线路图、原理图、线束图。 (一)、识读电路图的基本要求 了解全车电路,首先要识读该车的线路图,因为线路图上的电器是用图形符号以及外形表示的,容易识别。此外,线路图上的电器设备的位置与实际车上的位置是对应的,容易认清主要设备在车上的实际位置,同时,也可对设备的功能获得感性认识。 识读电路图时,应按照用电设备的功用,识别主要用电设备的相对分布位置;识别用电设备的连接关系,初步了解单元回路的构成;了解导线的类型以及电流的走向。 (二)、识读原理图的基本要求 原理图是一图形符号方式,把全车用电设备、控制器、电源等按照一定顺序连接而成的。它的特点是将各单元回路依次排列,便于从原理上分析和认识汽车电路。 识读原理图时,应了解全车电路的组成,找出各单元回路的电流通路,分析回路的工作过程。 (三)、识读线束图的基本要求 线束图是用来说明导线在车辆上安装的指导图。图上每根导线所注名的颜色与标号就是实际车上导线的颜色和到端子的所印数字。按次数字将导线接在指定的相关电器设备的接线柱上,就完成了连接任务。即使不懂原理,也可以按次接线。 总上所述,掌握汽车全车线路(总线路),应按以下步骤进行: (1)对该车所使用的电气设备结构、原理有一定了解,知道他的规格。 (2)认真识读电路图,达到了解全车所使用电气设备的名称、数量和实际安排位置;设备所用的接线柱数量、名称等。 (3)识读原理图应了解主要电气设备的各接线柱和那些电器设备的接线柱相连;该设备分线走向;分线上开关、熔断器、继电器的作用;控制方式与过程。 (4)识读线束图应了解该车有多少线束,各线束名称及在车上的安装位置;每一束的分支同向哪个电器设备,每分支又有几根导线及他们的颜色与标号,连接在那些接线柱上;该车有那些插接器以及他们之间的连接情况。 (5)抓住典型电路,触类旁通。汽车电路中有许多部分是类似的,都是性质相同的基本回路,不同的只是个别情形。 三、全车线路的认读 下面以东风EQ1090型载货汽车线路为例,分析说明各电子系统电路的特点。东风EQ1090型载货汽车全车线路主要由电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等组成。 (一)电源系统线路 电源系统包括蓄电池、交流发电机以及调节器,东风EQ1090汽车配装电子式电压调节器,电源线路如图。其特点如下: (1)发电机与蓄电池并联,蓄电池的充放电电流由电流表指示。接线时应注意电流表的-端接蓄电池正极,电流表的+端与交流发电机‘电枢’接线柱A或B连接,用电设备的电流也由电流表+端引出,这样电流表才能正确指示蓄电池的充、放电电流值。 (2)蓄电池的负极经电源总开关控制。当发电机转速很低,输出电压没有达到规定电压时,由蓄电池向发电机供给磁场电流。 (二)起动系统线路 启动系统由蓄电池、启动机、启动机继电器(部分东风EQ1090型汽车配装复合继电器)组成,系统线路如图。 启动发动机时,将点火开关置于“启动”档位,启动继电器(或复合继电器)工作,接通起动机电磁开关电路,从而接通起动机与蓄电池之间得电路,蓄电池便向起动机供给400~600A大电流,起动机产生驱动转矩将发动机起动。 发动机起动后,如果驾驶员没有及时松开点火开关,那么由于交流发电机电压升高,其中性点电压达5V时,在复合继电器的作用下,起动机的电磁开关将自动释放,切断蓄电池与起动电动机之间的电路,起动机便会自动停止工作。 根据国家标准GB9420--88的规定,汽车用起动电动机电路的电压降(每百安的培的电压差)12V电器系统不得超过0.2V,24V电器系统不的超过0.4V。因此,连接启动电动机与蓄电池之间的电缆必须使用具有足够横截面积的专用电缆并连接牢固,防止出现接触不良现象。 (三)点火系统线路 点火系统包括点火线圈、分电器、点火开关与电源。系统线路如图,其特点: (1)在低压电路中串有点火开关,用来接通与切断初级绕组电流; (2)点火线圈有两个低压接线端子,其中‘-’或‘1’端子应当连接分电器低压接线端子,“+”或“15”端子上连接有两根导线,其中来自起动机电磁开关的蓝色导线,(注:个别车型因出厂年代不同其导线颜色有可能不同)应当连接电磁开关的附加电阻短路开关端子“15a”;白色导线来自点火开关,该导线为附加电阻(电阻值为1.7欧姆左右)所以不能用普通导线代替。起动发动机时,初级电流并不经过白色导线,而是由蓄电池经起动电磁开关与蓝色导线直接流入点火线圈,使附加电阻线被短路,从而减小低压电路电阻,增大低压电流,保证发动机能顺利起动。 (3)在高压电路中,由分电器至各火花塞的导线称为高压导线,连接时必须按照气缸点火顺序依次连接。 (四)仪表系统线路 仪表系统包括电流表、油压表、水温表、燃油表与之匹配的传感器,系统线路如图所示。其特点如下: (1)电流表串联在电源电路里,用来指示蓄电池充、放电电流的大小。其他几种仪表相互并联,并由点火开关控制。 (2)水温表与燃油表共用一只电源稳压器,其目的是当电源电压波动时起到稳压仪表电源的作用,保证水温表与燃油表读数准确。电源稳压器的输出电压为8.64V+/-0.15V。 报警装置有油压过低报警灯和气压过低蜂鸣器,分别由各自的报警开关控制。当机油压力低于50~90kpa时,油压过低报警开关触电闭合,油压过低指示灯电路接通而发亮,指示发动机主油道机油压力过低,应及时停车维修。东风EQ1090型汽车采用气压制动系统,当制动系统的气压下降到340~370kpa时,气压过低蜂鸣器鸣叫,以示警告。 (五)照明与信号系统线路 照明与信号系统包括全车所有照明灯、灯光信号与音响信号,系统线路如图所示。其特点如下: (1)前照灯为两灯制,并采用双丝灯泡; (2)前照灯外侧为前侧灯,采用单灯丝,其光轴与牵照灯光轴成20度夹角,即分别向左右偏斜20度。因此,在夜间行车时,如果前照灯与前侧灯同时点亮,那么汽车正前方与左右两侧的较大范围内都有较好的照明,即使在汽车急转弯时,也能照亮前方的路面,从而大大改善了汽车在弯道多、转弯急的道路上行驶时的照明条件; (3)前照灯、前下灯、前侧灯及尾灯均由手柄式车灯开关控制; (4)设有灯光保护线路; (5)制动信号灯不受车灯总开关控制,直接经熔断丝与电源连接,只要踩下制动踏板,制动邓开关就会接通制动灯电路使制动灯发亮; (6)转向信号灯受转向灯开关控制; (7)电喇叭由喇叭按钮和喇叭继电器控制

你好,已经发送给你4封邮件,都是汽车专业相关的论文,由于你没有具体告诉我关于汽车的什么主题,我自己帮你找了三个主题,每个主题十来篇文章,你可以选择,请查收,希望对你有帮助!以后还需要检索论文的话可以再向我或者其他举手之劳队员提问哦,举手之劳助人为乐!——百度知道 举手之劳团队 队长:晓斌11蓝猫

汽车驾驶与维护常见错误分析研究随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。 汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。 关键词:电路 单行线制 系统 导线 各种车灯 目录:(1)全车线路的连接原则 (2)识读电路图的基本要求 (3)以东风EQ1090型载货汽车线路为例全车线路的认读 a.电源系统线b.起动系统线路c.点火系统线路 d.仪表系统线路e.照明与信号系统线路 (4)全车电路的导线 (5)识读图注意事项 论汽车电路的识读方法 在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。 一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。 蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。 汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。 灯光照明电路是指控制组合开关、前大灯和小灯的电路系统;信号电路是指控制组合开关、转弯灯和报警灯的电路系统;仪表电路是指点火开关、仪表板和传感器电路系统;启动电路是指点火开关、继电器、起动机电路系统;充电电路是指调节器、发电机和蓄电池电路系统。以上电路系统是必不可少的,构成全车电路的基本部分。辅助电路是指控制雨刮器、音响等电路系统。随着汽车用电装备的增加,例如电动座椅、电动门窗、电动天窗等,各种辅助电路将越来越多。 旧式汽车电路比较简单,一般情况下,它们的正极线(俗称火线)分别与保险丝盒相接,负极线(俗称地线)共用,重要节点有三个,保险丝盒、继电器和组合开关,绝大部分电路系统的一端接保险丝或开关,另一端联接继电器或用电设备。但在现代汽车的用电装置越来越多的情况下,线束将会越来越多,布线将会越来越复杂。随着汽车电子技术的发展,现代汽车电路已经与电子技术相结合,采用共用多路控制装置,而不是象旧式汽车那样通过单独的导线来传送。 使用多路控制装置,各用电负载发送的输入信号通过电控单元(ECU)转换成数字信号,数字信号从发送装置传输到接收装置,在接收装置转换成所需信号对有关元件进行控制。这样就需在保险丝、开关和用电设备之间的电路上添加一个多路控制装置(参阅广州雅阁后雾灯线路简图)。采用多路控制线路系统可。 第二部分 第二部分简要介绍了全车线路识读的原则、要求与方法以及电路用线的规格。主要针对其在东风EQ1090车型 汽车电路与电器系统应用情况作了概括性的阐述。其包括了电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等主要部分进行了说明。通过对东风EQ1090车型的系统学习,为以后接触到各类不同车型打下个坚实的基础。 一、全车线路的连接原则 全车线路按车辆结构形式、电器设备数量、安装位置、接线方法不同而各有不同,但其线路一般都以下几条原则: (1)汽车上各种电器设备的连接大多数都采用单线制; (2)汽车上装备的两个电源(发电机与蓄电池)必须并联连接; (3)各种用电设备采用并联连接,并由各自的开关控制; (4)电流表必须能够检测蓄电池充、放电电流的大小。因此,凡是蓄电池供电时,电流都要经过电流表与蓄电池构成的回路。但是,对于用电量大且工作时间较短的起动机电流则例外,即启动电流不经过电流表; (5)各型汽车均陪装保险装置,用以防止发生短路而烧坏用电设备。 了解上面的原则,对分析研究各种车型的电器线路以及正确判断电器故障很有帮助。 二、基本要求 一般来讲全车电路有三种形式,即:线路图、原理图、线束图。 (一)、识读电路图的基本要求 了解全车电路,首先要识读该车的线路图,因为线路图上的电器是用图形符号以及外形表示的,容易识别。此外,线路图上的电器设备的位置与实际车上的位置是对应的,容易认清主要设备在车上的实际位置,同时,也可对设备的功能获得感性认识。 识读电路图时,应按照用电设备的功用,识别主要用电设备的相对分布位置;识别用电设备的连接关系,初步了解单元回路的构成;了解导线的类型以及电流的走向。 (二)、识读原理图的基本要求 原理图是一图形符号方式,把全车用电设备、控制器、电源等按照一定顺序连接而成的。它的特点是将各单元回路依次排列,便于从原理上分析和认识汽车电路。 识读原理图时,应了解全车电路的组成,找出各单元回路的电流通路,分析回路的工作过程。 (三)、识读线束图的基本要求 线束图是用来说明导线在车辆上安装的指导图。图上每根导线所注名的颜色与标号就是实际车上导线的颜色和到端子的所印数字。按次数字将导线接在指定的相关电器设备的接线柱上,就完成了连接任务。即使不懂原理,也可以按次接线。 总上所述,掌握汽车全车线路(总线路),应按以下步骤进行: (1)对该车所使用的电气设备结构、原理有一定了解,知道他的规格。 (2)认真识读电路图,达到了解全车所使用电气设备的名称、数量和实际安排位置;设备所用的接线柱数量、名称等。 (3)识读原理图应了解主要电气设备的各接线柱和那些电器设备的接线柱相连;该设备分线走向;分线上开关、熔断器、继电器的作用;控制方式与过程。 (4)识读线束图应了解该车有多少线束,各线束名称及在车上的安装位置;每一束的分支同向哪个电器设备,每分支又有几根导线及他们的颜色与标号,连接在那些接线柱上;该车有那些插接器以及他们之间的连接情况。 (5)抓住典型电路,触类旁通。汽车电路中有许多部分是类似的,都是性质相同的基本回路,不同的只是个别情形。 三、全车线路的认读 下面以东风EQ1090型载货汽车线路为例,分析说明各电子系统电路的特点。东风EQ1090型载货汽车全车线路主要由电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等组成。 (一)电源系统线路 电源系统包括蓄电池、交流发电机以及调节器,东风EQ1090汽车配装电子式电压调节器,电源线路如图。其特点如下: (1)发电机与蓄电池并联,蓄电池的充放电电流由电流表指示。接线时应注意电流表的-端接蓄电池正极,电流表的+端与交流发电机‘电枢’接线柱A或B连接,用电设备的电流也由电流表+端引出,这样电流表才能正确指示蓄电池的充、放电电流值。 (2)蓄电池的负极经电源总开关控制。当发电机转速很低,输出电压没有达到规定电压时,由蓄电池向发电机供给磁场电流。 (二)起动系统线路 启动系统由蓄电池、启动机、启动机继电器(部分东风EQ1090型汽车配装复合继电器)组成,系统线路如图。 启动发动机时,将点火开关置于“启动”档位,启动继电器(或复合继电器)工作,接通起动机电磁开关电路,从而接通起动机与蓄电池之间得电路,蓄电池便向起动机供给400~600A大电流,起动机产生驱动转矩将发动机起动。 发动机起动后,如果驾驶员没有及时松开点火开关,那么由于交流发电机电压升高,其中性点电压达5V时,在复合继电器的作用下,起动机的电磁开关将自动释放,切断蓄电池与起动电动机之间的电路,起动机便会自动停止工作。 根据国家标准GB9420--88的规定,汽车用起动电动机电路的电压降(每百安的培的电压差)12V电器系统不得超过0.2V,24V电器系统不的超过0.4V。因此,连接启动电动机与蓄电池之间的电缆必须使用具有足够横截面积的专用电缆并连接牢固,防止出现接触不良现象。 (三)点火系统线路 点火系统包括点火线圈、分电器、点火开关与电源。系统线路如图,其特点: (1)在低压电路中串有点火开关,用来接通与切断初级绕组电流; (2)点火线圈有两个低压接线端子,其中‘-’或‘1’端子应当连接分电器低压接线端子,“+”或“15”端子上连接有两根导线,其中来自起动机电磁开关的蓝色导线,(注:个别车型因出厂年代不同其导线颜色有可能不同)应当连接电磁开关的附加电阻短路开关端子“15a”;白色导线来自点火开关,该导线为附加电阻(电阻值为1.7欧姆左右)所以不能用普通导线代替。起动发动机时,初级电流并不经过白色导线,而是由蓄电池经起动电磁开关与蓝色导线直接流入点火线圈,使附加电阻线被短路,从而减小低压电路电阻,增大低压电流,保证发动机能顺利起动。 (3)在高压电路中,由分电器至各火花塞的导线称为高压导线,连接时必须按照气缸点火顺序依次连接。 (四)仪表系统线路 仪表系统包括电流表、油压表、水温表、燃油表与之匹配的传感器,系统线路如图所示。其特点如下: (1)电流表串联在电源电路里,用来指示蓄电池充、放电电流的大小。其他几种仪表相互并联,并由点火开关控制。 (2)水温表与燃油表共用一只电源稳压器,其目的是当电源电压波动时起到稳压仪表电源的作用,保证水温表与燃油表读数准确。电源稳压器的输出电压为8.64V+/-0.15V。 报警装置有油压过低报警灯和气压过低蜂鸣器,分别由各自的报警开关控制。当机油压力低于50~90kpa时,油压过低报警开关触电闭合,油压过低指示灯电路接通而发亮,指示发动机主油道机油压力过低,应及时停车维修。东风EQ1090型汽车采用气压制动系统,当制动系统的气压下降到340~370kpa时,气压过低蜂鸣器鸣叫,以示警告。 (五)照明与信号系统线路 照明与信号系统包括全车所有照明灯、灯光信号与音响信号,系统线路如图所示。其特点如下: (1)前照灯为两灯制,并采用双丝灯泡; (2)前照灯外侧为前侧灯,采用单灯丝,其光轴与牵照灯光轴成20度夹角,即分别向左右偏斜20度。因此,在夜间行车时,如果前照灯与前侧灯同时点亮,那么汽车正前方与左右两侧的较大范围内都有较好的照明,即使在汽车急转弯时,也能照亮前方的路面,从而大大改善了汽车在弯道多、转弯急的道路上行驶时的照明条件; (3)前照灯、前下灯、前侧灯及尾灯均由手柄式车灯开关控制; (4)设有灯光保护线路; (5)制动信号灯不受车灯总开关控制,直接经熔断丝与电源连接,只要踩下制动踏板,制动邓开关就会接通制动灯电路使制动灯发亮; (6)转向信号灯受转向灯开关控制; (7)电喇叭由喇叭按钮和喇叭继电器控制

相关百科

热门百科

首页
发表服务