首页

> 学术论文知识库

首页 学术论文知识库 问题

平面磨床控制电路毕业论文

发布时间:

平面磨床控制电路毕业论文

实习报告今年暑假,学院为了使我们更多了解机电产品、设备,提高对机电工程制造技术的认识,加深机电在工业各领域应用的感性认识,开阔视野,了解相关设备及技术资料,熟悉典型零件的加工工艺,特意安排了我们到几个拥有较多类型的机电一体化设备,生产技术较先进的工厂进行生产操作实习.为期23天的生产实习,我们先后去过了杭州通用机床厂,杭州机密机床加工工厂,上海阀门加工工厂,上海大众汽车厂以及杭州发动机厂等大型工厂,了解这些工厂的生产情况,与本专业有关的各种知识,各厂工人的工作情况等等。第一次亲身感受了所学知识与实际的应用,传感器在空调设备的应用了,电子技术在机械制造工业的应用了,精密机械制造在机器制造的应用了,等等理论与实际的相结合,让我们大开眼界,也是对以前所学知识的一个初审.通过这次生产实习,进一步巩固和深化所学的理论知识,弥补以前单一理论教学的不足,为后续专业课学习和毕业设计打好基础.杭州通用机床厂 7月3日,我们来到实习的第一站,隶属杭州机床集团的杭州通用机床厂.该厂主要以生产M-级磨床7130H,7132H,是目前国内比较大型的机床制造厂之一.在实习中我们首先听取了一系列关于实习过程中的安全事项和需注意的项目,在机械工程类实习中,安全问题始终是摆在第一位的.然后通过该厂总设计师的总体介绍.粗略了解了该厂的产品类型和工厂概况.也使我们明白了在该厂的实习目的和实习重点.在接下来的一端时间,我们分三组陆续在通机车间,专机车间和加工车间进行生产实习.在通机车间,该车间负责人带我们参观了他们的生产装配流水线,并为我们详细讲解了平面磨床个主要零部件的加工装配工艺和整机的动力驱动问题以及内部液压系统的一系列构造.我最感兴趣的应该是该平面磨床的液压系统,共分为供油机构,执行机构,辅助机构和控制机构.从不同的角度出发,可以把液压系统分成不同的形式.按油液的循环方式,液压系统可分为开式系统和闭式系统。开式系统是指液压泵从油箱吸油,油经各种控制阀后,驱动液压执行元件,回油再经过换向阀回油箱。这种系统结构较为简单,可以发挥油箱的散热、沉淀杂质作用,但因油液常与空气接触,使空气易于渗入系统,导致机构运动不平稳等后果。开式系统油箱大,油泵自吸性能好。闭式系统中,液压泵的进油管直接与执行元件的回油管相连,工作液体在系统的管路中进行封闭循环。其结构紧凑,与空气接触机会少,空气不易渗入系统,故传动较平稳,但闭式系统较开式系统复杂,因无油箱,油液的散热和过滤条件较差。为补偿系统中的泄漏,通常需要一个小流量的补油泵和油箱.由于闭式系统在技术要求和成本上比较高,考虑到经济性的问题,所以该平面磨床采取开始系统,外加一个吸震器来平衡系统. 现代工程机械几乎都采用了液压系统,并且与电子系统、计算机控制技术结合,成为现代工程机械的重要组成部分,怎样设计好液压系统,是提高我国机械制造业水平的一项关键技术.在专机车间,对专用磨床的三组导轨,两个拖板等特殊结构和送料机构及其加工范围有了进一步的加深学习,比向老师傅讨教了动力驱动的原理问题,获益非浅.在加工车间,对龙门刨床,牛头刨床等有了更多的确切的感性认知,听老师傅们把机床的五大部件:床身,立柱,磨头,拖板,工作台细细道来,如孢丁解牛般地,它们的加工工艺,加工特点在不知不觉间嵌们我们的脑袋.在通机工厂的实习,了解了目前制造业的基本情况,只是由于机械行业特有的技术操作熟练性和其具有的较大风险性,很遗憾地,不能多做一些具体实践的操作,但是观察了一台机床的各个零件的生产加工过程及其装配过程,使许多自己从书本上学的知识鲜活了起来,明白了本专业在一些技术制造上的具体应用.

这个可以吗今年暑假,学院为了使我们更多了解机电产品、设备,提高对机电工程制造技术的认识,加深机电在工业各领域应用的感性认识,开阔视野,了解相关设备及技术资料,熟悉典型零件的加工工艺,特意安排了我们到几个拥有较多类型的机电一体化设备,生产技术较先进的工厂进行生产操作实习. 为期23天的生产实习,我们先后去过了杭州通用机床厂,杭州机密机床加工工厂,上海阀门加工工厂,上海大众汽车厂以及杭州发动机厂等大型工厂,了解这些工厂的生产情况,与本专业有关的各种知识,各厂工人的工作情况等等。第一次亲身感受了所学知识与实际的应用,传感器在空调设备的应用了,电子技术在机械制造工业的应用了,精密机械制造在机器制造的应用了,等等理论与实际的相结合,让我们大开眼界,也是对以前所学知识的一个初审.通过这次生产实习,进一步巩固和深化所学的理论知识,弥补以前单一理论教学的不足,为后续专业课学习和毕业设计打好基础. 杭州通用机床厂 7月3日,我们来到实习的第一站,隶属杭州机床集团的杭州通用机床厂.该厂主要以生产M-级磨床7130H,7132H,是目前国内比较大型的机床制造厂之一.在实习中我们首先听取了一系列关于实习过程中的安全事项和需注意的项目,在机械工程类实习中,安全问题始终是摆在第一位的.然后通过该厂总设计师的总体介绍.粗略了解了该厂的产品类型和工厂概况.也使我们明白了在该厂的实习目的和实习重点. 在接下来的一端时间,我们分三组陆续在通机车间,专机车间和加工车间进行生产实习.在通机车间,该车间负责人带我们参观了他们的生产装配流水线,并为我们详细讲解了平面磨床个主要零部件的加工装配工艺和整机的动力驱动问题以及内部液压系统的一系列构造.我最感兴趣的应该是该平面磨床的液压系统,共分为供油机构,执行机构,辅助机构和控制机构.从不同的角度出发,可以把液压系统分成不同的形式.按油液的循环方式,液压系统可分为开式系统和闭式系统。开式系统是指液压泵从油箱吸油,油经各种控制阀后,驱动液压执行元件,回油再经过换向阀回油箱。这种系统结构较为简单,可以发挥油箱的散热、沉淀杂质作用,但因油液常与空气接触,使空气易于渗入系统,导致机构运动不平稳等后果。开式系统油箱大,油泵自吸性能好。闭式系统中,液压泵的进油管直接与执行元件的回油管相连,工作液体在系统的管路中进行封闭循环。其结构紧凑,与空气接触机会少,空气不易渗入系统,故传动较平稳,但闭式系统较开式系统复杂,因无油箱,油液的散热和过滤条件较差。为补偿系统中的泄漏,通常需要一个小流量的补油泵和油箱.由于闭式系统在技术要求和成本上比较高,考虑到经济性的问题,所以该平面磨床采取开始系统,外加一个吸震器来平衡系统. 现代工程机械几乎都采用了液压系统,并且与电子系统、计算机控制技术结合,成为现代工程机械的重要组成部分,怎样设计好液压系统,是提高我国机械制造业水平的一项关键技术.在专机车间,对专用磨床的三组导轨,两个拖板等特殊结构和送料机构及其加工范围有了进一步的加深学习,比向老师傅讨教了动力驱动的原理问题,获益非浅.在加工车间,对龙门刨床,牛头刨床等有了更多的确切的感性认知,听老师傅们把机床的五大部件:床身,立柱,磨头,拖板,工作台细细道来,如孢丁解牛般地,它们的加工工艺,加工特点在不知不觉间嵌们我们的脑袋. 在通机工厂的实习,了解了目前制造业的基本情况,只是由于机械行业特有的技术操作熟练性和其具有的较大风险性,很遗憾地,不能多做一些具体实践的操作,但是观察了一台机床的各个零件的生产加工过程及其装配过程,使许多自己从书本上学的知识鲜活了起来,明白了本专业在一些技术制造上的具体应用. 杭州精密机床厂 7月8日我们到了同属杭州机床集团的杭州精密机床厂,顾名思义,杭州精密机床厂是生产一些加工精度较高,技术要求高的机床设备的大型工厂,主要加工的是机床内部的一些精度等级较高的小部件或者一些高精度的机床,如M级,MM级平面磨床.由于加工要求较高,所以机器也比较精密,所以有些也要在恒温这个环境下伺候它们呢.这样才能保证机床的工作性能,进而保证加工零件的加工精度要求. 在听了工人师傅的讲解后,明白了一般零件的加工过程如下: 胚料---划线---刨床(工艺上留加工余量)--粗车--热处理,调质--车床半精加工--磨--齿轮加工--淬火(齿面)--磨面 齿轮零件加工工艺: 粗车--热处理--精车--磨内孔--磨芯,轴端面--磨另一端面--滚齿--钳齿--剃齿--铡键槽--钳工--完工 精机公司有三个用于加工磨头体的加工中心和几台数控机床,数控机床的体积小,价格相对比较便宜,加工比较方便,加工中心有一个刀床和多个工作台同时对多个工作面进行加工,不仅避免了由于基准不重合产生的误差,提高了加工精度,而且也大大提高了加工效率,但是加工中心体积大,价格昂贵,而且对环境要求较高,这就提高了产品的成本,一般选择加工经济性较高的零件或者精度要求高的关键零件. 在精机公司的实习中,极大地丰富了自己关于零件加工工艺的知识,拓展了自己的知识面.在这次实习中,感触最深的是了解了数控机床在机械制造业中的重要性,它是电子信息技术和传统机械加工技术结合的产物,它集现代精密机械、计算机、通信、液压气动、光电等多学科技术为一体,具有高效率、高精度、高自动化和高柔性等特点,是尖端工业所不可缺少的生产设备.目前我国绝大部分数控机床都是出自国外先进制造商,无论在数量上,精度,性能指标上,中国制造业都远远落后于发达国家,需要我们奋起直追. 上海阀门厂&上海大众汽车生产有限公司 接下来的日子我们乘车去了上海,因为时间比较紧迫,所以这次上海之行应该以参观为主,在上海阀门厂的时间比较短,也很难获得比较理想的实习效果,在上海大众汽车有限公司的参观,多少令我们了解了机械制造业的发展方向,我想,这也是本次上海之行最大的收获. 就目前来言,汽车等一系列高新技术的运用开看,如何将电子技术与机械技术更好的结合,实现机电一体化,将是日后一端时间机械发展的重中之重 杭州发动机厂 我们此次实习的最后一站是杭州发动机厂,该厂建于1958年,是由杭州动力厂和汽车修配厂等合并而成,该厂参与生产了浙江省第一辆重型染油机,第一辆拖拉机,第一辆大客车以及第一部无轨电车,曾在92年被列为国家重点大型企业,浙江省机械100强的美誉,现在该厂拥有员工1800人,具有2000多台专业机器,该厂的X6130柴油机,WD615柴油机,STAIR型柴油机都在浙江省内市场上占有主流地位,年产值达10多忆元,是浙江省内重点发动机生产基地. 在此次实习中,在杭州发动机厂的时间最长,历时12天,分别介绍了X6130柴油缸体的加工工艺(分为面加工和孔加工),凸轮轴孔的加工,数控设备的加工特点,分类及具体运用,曲轴的加工工序以及发动机的具体工作原理.在最后一天,我们还参观了其铸造部,参观了其铸造生产过程.在该厂的实习中,深刻明白了数控机床的生产中发挥的切实作用,以及目前社会对数控机床及数控人才的急需,而在一些重要数控产品,如量大面广的数控车床、铣床、高速高精高性能数控机床等的需求上,决不能过于依赖进口. 历时将近一个月的实习结束,该次实习,真正到达机械制造业的第一前线,了解了我国目前制造业的发展状况也粗步了解了机械制造也的发展趋势.在新的世纪里,科学技术必将以更快的速度发展,更快更紧密得融合到各个领域中,而这一切都将大大拓宽机械制造业的发展方向. 它的发展 趋势可以归结为“四个化”:柔性化、灵捷化、智能化、信息化.即使工艺装备与工艺路线能适用于生产各种产品的需要,能适用于迅速更换工艺、更换产品的需要,使其与环境协调的柔性,使生产推向市场的时间最短且使得企业生产制造灵活多变的灵捷化,还有使制造过程物耗,人耗大大降低,高自动化生产,追求人的智能于机器只能高度结合的智能化以及主要使信息借助于物质和能量的力量生产出价值的信息化. 当然机械制造业的四个发展趋势不是单独的,它们是有机的结合在一起的,是相互依赖,相互促进的。同时由于科学技术的不断进步,也将会使它出现新的发展方向。前面我们看到的是机械制造行业其自身线上的发展。然而,作为社会发展的一个部分,它也将和其它的行业更广泛的结合。21世纪机械制造业的重要性表现在它的全球化、网络化、虚拟化、智能化以及环保协调的绿色制造等。它将使人类不仅要摆脱繁重的体力劳动,而且要从繁琐的计算、分析等脑力劳动中解放出来,以便有更多的精力从事高层次的创造性劳动,智能化促进柔性化,它使生产系统具有更完善的判断与适应能力。当然这一切还需要我们大家进一步的努力。

车床电气控制线路毕业论文

摘要]电子技术的发展以及国内数控装置的发展使得数控装置的价格走低,特别是经济型数控车系统的价格已经是到达了它的最低点。经济型数控车床在中国的机械加工行业中得到了迅速普及,使得我国机械加工水平无论在加工质量方面还是在加工效率方面也得到了迅速提高。但是随着机床使用时间的延长,数控机床会出现这样或那样的故障,本文就以经济型数控机床的常见故障为例,谈了一些解决的办法。 [关键词]数控车床 霍尔开关 继电器 伺服驱动 数控车床典型故障诊断及维修一、换刀装置故障 数控车换刀一般的过程是:换刀电机接到换刀信号后,通过蜗轮蜗杆减速带动刀架旋转,由霍尔元件发出刀位信号,数控系统再利用这个信号与目标值进行比较以判断刀具是否到位。刀换到位后,电机反转缩紧刀架。在我维修数控车的过程中遇到了以下几个故障现象。 故障一:一台四刀位数控车床,发生一号刀位找不到,其它刀位能正常换刀的故障现象。 故障分析:由于只有一号刀找不到刀位,可以排除机械传动方面的问题,确定就是电气方面的故障。可能是该刀位的霍尔元件及其周围线路出现问题,导致该刀位信号不能输送给PLC。对照电路图利用万用表检查后发现:1号刀位霍尔元件的24V供电正常,GND线路为正常,T1信号线正常。因此可以断定是霍尔元件损坏导致该刀位信号不能发出。 解决办法:更换新的霍尔元件后故障排除,一号刀正常找到。 故障二:一台六刀位数控车床,换刀时所有刀位都找不到,刀架旋转数周后停止,并且数控系统显示换刀报警:换刀超时或没有信号输入。 故障分析查找:对于该故障,仍可以排除机械故障,归咎于电气故障所致。产生该故障的电气原因有以下几种:1.磁性元件脱落;2.六个霍尔元件同时全部损坏;3.霍尔元件的供电和信号线路开路导致无电压信号输出。其中以第三种原因可能性最大。因此找来电路图,利用万用表对霍尔元件的电气线路的供电线路进行检查。结果发现:刀架检测线路端子排上的24V供电电压为0V,其它线路均正常。以该线为线索沿线查找,发现从电气柜引出的24V线头脱落,接上后仍无反应。由此判断应该是该线断线造成故障。 解决办法:利用同规格导线替代断线后,故障排除。 故障三:一台配有FANUC-0imate系统大连机床厂的六刀位车床,选刀正常但是当所选刀位到位之后不能正常锁紧。系统报警:换刀超时。 故障分析查找:刀架选刀正常,正转正常,就是不能反向锁紧。说明蜗轮蜗杆传动正常,初步定为电气线路问题。在机床刀架控制电气原理图上,发现刀具反向锁紧到位信号是由一个位置开关来控制发出的,是不是该开关即周围线路存在问题呢?为了确认这个故障原因,打开刀架的顶盖和侧盖,利用万用表参照电路图检查线路,发现线路未有开路和短路,通过用手按动刀架反向锁紧位置开关,观察梯形图显示有信号输入,至此排除电气线路问题。推断可能是挡块运动不到位,位置微动开关未动作。于是重新换刀一次来观察一下,结果发现:果然挡块未运动到位。于是把挡块螺栓拧紧,试换刀一次正常。再换一次刀,原故障又出现了,同时发现蜗杆端的轴套打滑并且爬升现象。难道是它造成了电机反转锁紧时位置开关的挡块不能到位?于是把该轴套进行了轴向定位处理,将刀架顶盖装好。结果刀架锁紧正常了。 解决办法:对轴套进行轴向定位故障解决。 二、稳压电源故障 机床在运行时机床照明灯突然不亮,机床操作面板灯也不亮,系统电源正常,同时系统急停报警,和主轴无信号警。关机后重新上电故障依旧。 故障分析检查:经询问当时操作人员,没有违规操作,排除人为原因,也可以排除机械原因,应该是电气故障引起。该机床的电器原理图显示,这些失电区域都和24V有关,并且该机床拥有两个稳压电源,一个是I/O接口电源,另一个为系统电源。失电区域都与I/O接口有关,于是打开电气柜观察发现I/O接口稳压电源指示灯未能点亮,说明该电源未能正常工作或损坏。由稳压电源的工作原理知道,稳压电源有电流短路和过载保护的功能,当电源短路或过载时自动关断电源输出,以保护电源电路不被损坏。于是试着把电源的输出负载线路拆下来,结果发现重新上电后电源指示灯亮了。这说明电源本身没有损坏。通过分析得知该电源为I/O接口电源,负载不大,也不会出现过载现象,应该是输出回路中有短路故障。沿着输出线号进行检查发现有一根24V+输出线接头从绝缘胶布中露出并接触到机床床体。原因很明显:由于该线与机床发生对地短路,造成该稳压电源处于自我保护状态,使得操作面板和一些I/O接口继电器供电停止,导致发生以上故障。至于变频器报警可能24V信号不能到位发出报警。 解决办法:用绝缘胶布把接头处重新包好,重新上电开机所有故障解决,报警解除照明灯也亮了。

数控机床旋转进给系统的状态空间模型及性能分析摘要:高性能多坐标数控机床的摆头、转台等旋转进给系统多采用永磁同步伺服电机进行直接驱动,其控制问题较常规进给系统更为复杂。因此建立更为科学的适用于直接驱动的永磁同步电机的数学模型对提高旋转进给系统的控制水平具有重要意义。本文提出在矢量控制的基础上建立直接驱动用永磁同步电机的状态空间模型的方法,并运用现代控制理论对系统的能控性、可观测性及稳定性等进行分析和计算以及对系统进行极点配置,并用Simulink进行了系统仿真,为数控机床旋转进给伺服系统的设计和分析提供了理论基础和分析方法。关键词:旋转进给;直接驱动;永磁同步电机;中图分类号:TP391 文献标识码:A 文章编号:1009-0134(2007)08-0040-05State space model and performance analysis of numerical controlmachine rotary feed systemZHANG Ao, ZHOU Kai(Department of Precision Instrument and Mechanics,Tsinghua University,Beijing 100084,China)Abstract: Rotary feed system such as pendulum head and revolving table of high-powered multicoordinatesnumerical control machine adopts PMSM to drive directly. It's more complex tocontrol than the conventional feed system. So it's significative to set up mathematic modelof PMSM which is applicable for the direct drive more scientifically in order to improve thecontrol level of rotary feed system. Thus a modeling of PMSM method for state spaceequation modeling of PMSM based on vector control is proposed. The controllability,observability, stability and Pole assignment are analysed by modern control theory. And thesystem emulation is finished by Simulink. This method offers theoretical basic and analyticalmethod for rotary feed servo system designing of numerical control words: rotary feed; direct drive; PMSM; state space equation0 前言高性能数控机床的旋转进给伺服系统,特别是直接驱动伺服系统(即取消了从电动机到执行机构或负载之间的一切机械中间传动环节,把传动链的长度缩短为零。)广泛使用永磁同步电机(permanentmagnet synchronous motor, PMSM)作为控制对象。其优点是结构简单,运行可靠,通过在结构上采取措施,如采用高剩磁感应、高矫顽力和稀土类磁铁等,可比直流电动机的外形尺寸约减少1/2,重量轻60%,转子惯量可减小到直流电动机的1/5 。[2]还应该看到,传统驱动系统由于传动环节的存在,控制环节的受力较小,系统对扰动的敏感度相对较低,而直接驱动伺服系统,负载与控制环节之间几乎是直接相联,没有传动链的缓冲,因此控制环节受力较大,对扰动比较敏感,这可能会对系统的动态性能造成影响;同时,摆头与转台的特点是要承受低速大负载,因此其大负载条件下的低速平稳性也是系统设计中的一个重要问题。因此,对于此类数控机床转台、摆头等旋转进给直接驱动系统而言,其控制问题较常规进给系统更为复杂。在工程实际中多采用基于矢量变换控制的经典3 环控制方法进行系统控制,其建立控制模型的基础是经典控制理论,即对系统使用传递函数加以描述,将某个单变量(如转速等)作为输出,直接和输入(如电压等)联系起来。但实际上系统除了输出量外还包含其它相互独立的变量,而微分方程或传递函数对这些内部的中间变量是不便描述的,因而不能包含系统的所有信息,不能完全揭示系统的全部运动状态。而若应用现代控制理论的状态空间法分析系统,其动态特性是由状态变量构成的一阶微分方程组来描述的,它能反映系统全部独立变量的变化,确定系统全部内部运动状态,方便地处理初始条件。因此可以更为全面的表征系统以及系统内部变量的关系,尤其适合应用于非线性、多输入-多输出系统。[5]综上所述,旋转进给直接驱动伺服系统是一个强耦合、非线性的复杂系统,因此用状态空间法来进行建模是更为科学和有效的。本文在矢量控制的基础上通过状态空间法建立永磁同步电机状态空间模型,并应用现代控制理论的各种方法对模型进行全面的分析,为进一步应用先进的控制方法对系统进行控制打下坚实的基础。1 PMSM 的数学模型我们考虑的是正弦型永磁同步电动机系统。该电动机具有正弦形的反电动势波形,其定子电压、电流也为正弦波形。假设电动机是线性的,参数不随温度等变化,忽略磁滞、涡流损耗,转子无阻尼绕组。基于电动机统一理论的结论可以得到,转子坐标系(d-q轴系)中永磁同步电动机定子磁链方程为:(1)其中:——转子磁钢在定子上的耦合磁链;Ld、Lq——永磁同步电动机的直、交轴主电感;、 ——定子电流矢量的直、交轴分量。PMSM 定子电压方程为: (2)其中, 、——定子电压矢量us的d、q轴分量;w——转子电角频率。PMSM 的转矩方程为: (3)电动机转矩系数Kt 为:Kt = pmyr此外,电动机系统还要满足基本运动方程:( 4)其中,n ——电动机转速;wr ——转子机械角速度,w=pmwr ;Td、TL ——电动机的电磁转矩和负载转矩。采用现代控制理论的状态方程对永磁同步电机进行数学建模。若采取矢量控制,一般要求id=0,但是状态方程中不出现md和id是不合理的。因为在id=0的控制模式中,只是要求id的取值等于0,但id的实际值并不一定总是等于0(特别是在动态过程中)。同时,ud的实际数值也不会等于0。因此,必须将ia也作为状态变量,将md 也作为控制变量,由控制器根据所有状态变量(包括id)的取值进行控制。因此取状态变量 ,q 为转子位置角。将(1)式带入(2)式的第2 式,由(3)式和(4)式可得,则永磁同步电机的状态方程为( ) :(5)由此可见,该系统是一个非线性时变系统,且在系数矩阵中含有wr,id,iq状态变量的交叉相乘项,因此需要进行系统解耦,令因此采取id=0的矢量控制方法,uq'=uq,TL'=TL,系统可化为线性系统。取ud,uq 为控制量,负载转矩TL 作为扰动处理,因此单独提出,则系统化为=AX+BU+B0TL 的形式,则原系统化为:(6)2 PMSM 系统的分析PMSM 的参数如下:则系统状态空间方程为: 多项式模型将状态空间模型转换为多项式模型,系统的传递矩阵为: 能控性与可观测性分析状态完全能控的充分必要条件是系统的能控矩阵的秩为n。状态完全能观测的充分必要条件是能观测矩阵的秩为n。计算可得,系统的能控矩阵秩为4,满秩,则系统状态是完全能控的。系统的能观测矩阵的秩为4,满秩,则系统状态是完全可观测的。 控制系统的稳定性分析对于由状态空间模型表示的系统,其系统稳定的充分必要条件是:系统矩阵A 的特征值全部具有负实部。eig(a)'= *[0 - + ]由于系统矩阵a 的特征值中有一个是零,因此该系统是临界稳定的。由于能控矩阵的秩为4,满秩,因此可以通过状态反馈配置极点使得系统稳定。 多输入控制系统的极点配置对于多输入系统的极点配置的基本思路是:首先求一状态反馈,使得其闭环系统对某一输入(例如第一个输入)是能控的,再按单输入系统配置极点的方法进行极点配置[5]。图1 极点配置的闭环系统框图期望极点为: *[ + ](1)构造Q、S 矩阵。,由系统可得,n=4,m=2,u1+u2=4,a 为Q-1 的最后一行向量。(2)先按能控标准型进行极点配置。对 单输入系统进行极点配置。的特征多项式为,所期望的特征多项式为,则增益阵为:(3)求化为能控标准型的变换矩阵T,即则增益阵返回原坐标系为(4)使原系统(A,B)实现极点配置的状态反馈为: 系统仿真系统位置状态向量对阶跃信号的响应:图2 极点配置前位置状态向量的阶跃响应图3 极点配置后位置状态向量的阶跃响应系统位置状态向量对速度信号的响应(虚线为输入位置信号,实线为输出位置信号):图4 极点配置前的速度信号跟踪曲线系统位置状态向量对正弦信号的响应(虚线为输入位置信号,实线为输出位置信号)图5 极点配置后的速度信号跟踪曲线图6 极点配置前的正弦信号跟踪曲线图7 极点配置后的正弦信号跟踪曲线由此可见,通过极点配置使系统稳定,且对各种输入信号的响应有很大改善,具有很好的跟踪性能,这对于随动系统来说是十分重要的。3 总结使用状态空间方程表征系统,可以把系统的状态与系统的输入和输出联系起来,并在系统的内部变量与外部输入和测量输出之间建立联系,保存系统内部特性的信息,因此模型更为精确和科学。本文即在矢量控制的基础上提出了一种建立完整的永磁同步电机状态空间模型的方法。根据此模型,运用现代控制理论的各种方法对系统性能进行了分析和计算,分析表明该系统具有完全能控性、完全可观测性以及临界稳定性,通过状态反馈配置极点的方法使得系统稳定,使状态变量对输入信号有很好的跟踪性能。为进一步分析和设计控制系统提供了有效的方法和思路。参考文献:[1] 欧阳黎明.MATLAB控制系统设计[M].北京:国防工业出版社,2001.[2] 张崇巍,李汉强.运动控制系统[M].武汉:武汉理工大学出版社,2002.[3] 李三东,薛花.基于Matlab永磁同步电机控制系统的仿真建模[J].江南大学学报,2004,(2):115-120.[4] 杨平,马瑞卿,张云安.基于Matlab永磁同步电机控制系统的建模仿真方法 [J].沈阳工业大学学报,2005,(4):195-199.[5] 侯媛彬,嵇启春,张建军,杜京义.现代控制理论基础[M].北京大学出版社,2006.[6] 孙亮. MATLAB语言与控制系统仿真[M].北京:北京工业大学出版社,2006国物流管理逐渐走向社会化和供应链化的形势下,必须接合具体企业的物流运作管理实际,根据精益物流的基本原则和企业信息化状况,通过理论与应用的研究,在精益供应链物流管理原型系统的基础上不断修改和完善,不断地进行研究和实践,以此来推动我国制造企业精益供应链物流管理信息系统的发展。参考文献:[1] 乌跃.论精益物流系统[J].中国流通经济,2001(5):11-13.[2] (美)詹姆斯·P. 沃麦克, (英)丹尼尔·T. 琼斯, 沈希瑾,张文杰,李京生.精益思想:消灭浪费,创造财富[M].北京:商务印书馆,1999.[3] RICHARD Wilding. Lean, Leaner, Leanest[J]. InternationalJournal of Physical Distribution & Logistics Management1996,25(3/4)20.[4] 王之泰. 物流工程研究[M].北京:首都经济贸易大学出版社,2004.[5] 田宇,朱道立.精益物流[J].物流技术,1999(6):19-21.[6] LIU X Q, MA S H. Supply chain logistics circulation quantityand response time calculation model[J].WSEAS Transactionson Systems, 2006,5(4): 在机床数控改造中的典型应用邵晓嵬, 任有志, 王燕丽(河北科技大学机械电子工程学院, 石家庄050054)摘要: 讨论了利用可编程控制器对机床进行数控改造的具体方案和一般步骤,并以锯片切割机的改造为例介绍了利用西门子公司S7 - 200 系列可编程控制器进行改造的具体过程,阐述了机床数控改造后的应用效果及其未来的社会和经济效益。关键词: 可编程控制器; 机床; 数控改造中图分类号: TG51 文献标志码: A 文章编号:100320794 (2007) 1120147202Typical Application of PLC in NC Transformation for Machine ToolSHAO Xiao - wei , REN You - zhi , WANGYan - li(College of Mechanical and Electronic Engineering ,Hebei University of Science & Technology , Shijiazhuang 050054 ,China)Abstract :Discussed how to use the programmable logical controller (PLC) to deal with the transformation inmachine tool , particularly introduced the whole process of transformation on incise machine based on SIEMENSS7 - 200 PLC. Finally expatiate the effect of NC transformation and its coming benefit .Key words :programmable logical controller (PLC) ; machine tool ; NC transformation0 前言在我国现有的机床中有一部分仍采用传统的继电器- 接触器控制方式,这些机床触点多、线路复杂,使用多年后,故障多、维修量大、维护不便、可靠性差,严重影响了正常的生产。还有一些旧机床虽然还能正常工作,但其精度、效率、自动化程度已不能满足当前生产工艺要求。对这些机床进行改造势在必行,改造既是企业资源的再利用,走持续化发展的需要,也是满足企业新生产工艺,提高经济效益的需要。1 解决方案利用PLC 对旧机床控制系统进行改造是一种行之有效的手段。采用PLC 进行控制后,机床控制电路的接线量大大减少,故障率大大降低,提高了设备运行的稳定性和使用率,增强了可靠性,减小了维修,维护工作强度。当机床加工程序发生变化时,只需要修改PLC的程序就可以进行新的加工,更改较方便,有助于提升机床的应用。由于具有通信功能,采用可编程控制器进行机床改造后,可以与其他智能设备联网通信,在今后的进一步技术改造升级中,可根据需要联入工厂自动化网络中。2 改造过程、步骤及应用实例(1) 深入了解原有机床的工作过程,分析整理其控制的基本方式、完成的动作时序和条件关系,以及相关的保护和联锁控制,尽可能地与实际操作人员充分交流,了解是否需要对现有机床的控制操作加以改进,提高精度、可操作性和安全性等;如有需要,在后续的设计中予以实现。(2) 根据分析整理的结果,确定所需要的用户输入P输出设备。由于是对旧机床的改造,在保证完成工艺要求的前提下,最大限度地使用原有机床的输入P输出设备,如: 按钮、行程开关、接触器、电磁阀等,以降低改造成本。(3) PLC 机型选择。根据输入P输出设备的数量与类型,确定所需的IPO 点数。确定IPO 点数时,应留有20 %左右的裕量,以适应今后的生产工艺变化,为系统改造留有余地。由IPO 点数,利用一条经验公式:总内存字数= (开关量输入点数+ 开关量输出点数) ×10 + 模拟量点数×150来估算内存容量。在估算出内存字数后,再留25 %的裕量。据此,选择合适的机型。(4) 设计并编制IPO 分配表,绘制IPO 接线图。应注意到:同类型的输入点或输出点应尽量集中在一起,连续分配。(5) 进行程序设计。可借鉴机床原有继电器控制电路图,加以修改和完善。完成程序设计后,应进行模拟调试。(6) 模拟调试后,进行现场系统调试。调试中出现的问题逐一排除,直至调试成功。最后还应进行技术资料整理、归档。图1 IPO 接线图下面是对某锯片切割机的数控改造过程,机床的各控制过程如下:(1) 主轴电机的控制。起动,停止;(2) 进给电机控制。工作台纵向进给到与锯片相切的位置,之后工作台横向快速进给锯片,完成后工作台慢速移动后退,其间锯片主工作台变速旋转一个锯齿的角度,两运动同时进行插补出一个锯齿圆弧;(3) 冷却泵电机的起动控制以及相关的保护、联锁控制,工作台的各运动方向的超程保护,各运动方向的联锁控制等。确定所需的用户输入P输出设备。根据设备的硬件条件分析出,面板上有6 个按钮需占6 个数字输入口,一个BCD 拨码开关占用4 个输入口,一条直线光栅尺占用3 个输入口,一个三位状态旋钮占2 个输入口,执行元件为3 个步进电机和2 个异步电机,其中3 个步进电机共需8 个数字输出口,砂轮主电机和冷却泵各需1 个输出口,报警指示灯和上电指示灯各需1 个输出口。为保证安全起见,热继电器不接入输入端,而直接接在PLC 的输出端;合计输入点数15 点,输出点数12 点。考虑到要留有20 %左右的裕量,所以IPO 点数要在30 个点以上。因此,选用西门子公司S7 - 200 系列226 型号的PLC ,其输入点数24 点,输出点数16 点, IPO 总点数40 点;编制IPO 分配表(见表1) ,绘制IPO 接线图(见图1) ;借助机床原有的继电器控制电路图,进行程序设计,编写STL 结构化程序语言;模拟调试及现场系统调试,完成技术资料的归档。表1 IPO 分配表输入输出I0. 0 BCD 拨码开关1 位Q0. 0 W轴CP 端I0. 1 BCD 拨码开关2 位Q0. 1 X轴PY轴CP 端I0. 2 BCD 拨码开关3 位Q0. 2 W轴DIR 端I0. 3 BCD 拨码开关4 位Q0. 3 W轴FREE 端I0. 4 启动Q0. 4 X轴DIR 端I0. 5 暂停Q0. 5 X轴FREE 端I0. 6 光栅尺A 相输入Q0. 6 Y轴DIR 端I0. 7 光栅尺B 相输入Q0. 7 Y轴FREE 端I1. 0 光栅尺Z相复位Q1. 0 主电机继电器I1. 1 锯片直径输入确定Q1. 1 冷却泵继电器I1. 2 砂轮直径输入确定Q1. 2 报警指示灯I1. 3 三位状态旋钮输入1 Q1. 3 上电指示灯I1. 4 三位状态旋钮输入2I1. 5 冷却泵启动I1. 6 急停3 改造后效果可实现加工的柔性自动化,效率比传统锯片机提高5~6 倍。加工的锯齿精度高,尺寸分散度小,提高了锯齿的强度。拥有自动报警、自动监控、补偿等多种自我调节功能,可实现长时间无人看管加工。由于锯片采用的是某新型合金钢,齿磨损后修补的成本很高,采用该锯片机以后,为工厂节省了可观的维修成本,真正提高了工厂的效益。4 结语利用PLC 对传统机床进行数控化改造,能够有效地解决复杂、精密和小批多变的零件加工问题,满足高质量、高效益和多品种、小批量的柔性生产方式的要求,适应各种机械产品迅速更新换代的需要,同时为企业节省了大量的设备改造成本,提高了企业的经济效益和社会效益,提升了企业的产品竞争力,使企业更容易在竞争激烈的市场环境里生存与发展。参考文献:[1 ]陈立定. 电气控制与可编程控制器[M]

数控车床实习报告前 言机床是人类进行生产劳动的重要工具,也是社会生产力发展水平的重要标志。普通机床经经历了近两百年的历史。随着电子技术、计算机技术及自动化,精密机械与测量等技术的发展与综合应用,生产了机电一体化的新型机床一一数控机床。数控机床一经使用就显示出了它独特的优越性和强大生命力,使原来不能解决的许多问题,找到了科学解决的途径。数控车床是数字程序控制车床的简称,它集通用性好的万能型车床、加工精度高的精密型车床和加工效率高的专用型车床的特点于一身,是国内使用量最大,覆盖面最广的一种数控机床,也是是一种通过数字信息,控制机床按给定的运动轨迹,进行自动加工的机电一体化的加工装备,经过半个世纪的发展,数控机床已是现代制造业的重要标志之一,在我国制造业中,数控机床的应用也越来越广泛,是一个企业综合实力的体现。实 习 报 告 正 文自从走进了大学,就业问题就似乎总是围绕在我们的身边,成了说不完的话题。在现今社会,招聘会上的大字报都总写着“有经验者优先”,可还在校园里面的我们这班学子社会经验又会拥有多少呢?为了拓展自身的知识面,扩大与社会的接触面,增加个人在社会竞争中的经验,锻炼和提高自己的能力,以便在以后毕业后能真正真正走入社会,能够适应国内外的经济形势的变化,并且能够在生活和工作中很好地处理各方面的问题,在这个假期里我开始了自己的校外实习。.,把所学的理论知识,运用到客观实际中去,使自己所学的理论知识有用武之地。只学不实践,所学的就等于零,理论应该与实践相结合.另一方面,实践可为以后找工作打基础.通过这段时间的实习,学到一些在学校里学不到的东西。因为环境的不同,接触的人与事不同,从中所学的东西自然就不一样了。要学会从实践中学习,从学习中实践.而且在中国的经济飞速发展,又加入了世贸,国内外经济日趋变化,每天都不断有新的东西涌现,在拥有了越来越多的机会的同时,也有了更多的挑战,中国的经济越和外面接轨,对于人才的要求就会越来越高,我们不只要学好学校里所学到的知识,还要不断从生活中,实践中学其他知识,不断地从各方面武装自已,才能在竞争中突出自已,表现自已。1. F功能F功能指令用于控制切削进给量。在程序中,有两种使用方法。(1)每转进给量编程格式 G95 F~F后面的数字表示的是主轴每转进给量,单位为mm/r。如:G95 表示进给量为 mm/r。(2)每分钟进给量编程格式G94 F~F后面的数字表示的是每分钟进给量,单位为 mm/min。如:G94 F100 表示进给量为100mm/min。2. S功能S功能指令用于控制主轴转速。编程格式 S~S后面的数字表示主轴转速,单位为r/min。在具有恒线速功能的机床上,S功能指令还有如下作用。(1)最高转速限制设定加工坐标系编程格式 G50 S~S后面的数字表示的是最高转速:r/min。如:G50 S3000 表示最高转速限制为3000r/min。(2)恒线速控制编程格式 G96 S~S后面的数字表示的是恒定的线速度:m/min。如:G96 S150 表示切削点线速度控制在150 m/min。(3)恒线速取消编程格式 G97 S~S后面的数字表示恒线速度控制取消后的主轴转速,如S未指定,将保留G96的最终值。如:G97 S3000 表示恒线速控制取消后主轴转速3000 r/min。3. T功能T功能指令用于选择加工所用刀具。编程格式 T~T后面通常有两位数表示所选择的刀具号码。但也有T后面用四位数字,前两位是刀具号,后两位是刀具长度补偿号,又是刀尖圆弧半径补偿号。例:T0303 表示选用3号刀及3号刀具长度补偿值和刀尖圆弧半径补偿值。T0300 表示取消刀具补偿。4. M功能M00: 程序暂停,可用NC启动命令(CYCLE START)使程序继续运行;M01:计划暂停,与M00作用相似,但M01可以用机床“任选停止按钮”选择是否有效;M03:主轴顺时针旋转;M04:主轴逆时针旋转;M05:主轴旋转停止;M08:冷却液开;M09:冷却液关;M30:程序停止,程序复位到起始位置。5. 加工坐标系设置G50编程格式 G50 X~ Z~式中X、Z的值是起刀点相对于加工原点的位置。G50使用方法与G92类似。在数控车床编程时,所有X坐标值均使用直径值,如图所示。如:按图设置加工坐标的程序段如下:G50 . 快速定位指令G00G00指令命令机床以最快速度运动到下一个目标位置,运动过程中有加速和减速,该指令对运动轨迹没有要求。其指令格式:G00 X(U)____ Z(W)____;当用绝对值编程时,X、Z后面的数值是目标位置在工件坐标系的坐标。当用相对值编程时,U、W后面的数值则是现在点与目标点之间的距离与方向。如图所示的定位指令如下:G50 ; 设定工件坐标系G00 ; 绝对值指令编程A→C或G00 ; 相对值指令编程A→C因为X轴和Z轴的进给速率不同,因此机床执行快速运动指令时两轴的合成运动轨迹不一定是直线,因此在使用G00指令时,一定要注意避免刀具和工件及夹具发生碰撞。如果忽略这一点,就容易发生碰撞,而快速运动状态下的碰撞就更加危险7. 直线插补指令G01G01指令命令机床刀具以一定的进给速度从当前所在位置沿直线移动到指令给出的目标位置。指令格式:G01 X(U)____Z(W)____F ;其中F是切削进给率或进给速度,单位为mm/r或mm/min,取决于该指令前面程序段的设置。使用G01指令时可以采用绝对坐标编程,也可采用相对坐标编程。当采用绝对坐编程时,数控系统在接受G01指令后,刀具将移至坐标值为X、Z的点上;当采用相对坐编程时,刀具移至距当前点的距离为U、W值的点上。如图所示的直线运动指令如下:G01 Z20. ; 绝对值指令编程G01 ; 相对值指令编程8. 圆弧插补指令G02、G03圆弧插补指令命令刀具在指定平面内按给定的F进给速度作圆弧插补运动,用于加工圆弧轮廓。圆弧插补命令分为顺时针圆弧插补指令G02和逆时针圆弧插补指令G03两种。其指令格式如下:顺时针圆弧插补的指令格式:G02 X(U)____Z(W)____I____K____F____;G02 X(U)____Z(W)___R___ F____;逆时针圆弧插补的指令格式:G03 X(U)____Z(W)____ I____K____F____;;G03 X(U)____Z(W)___R___ F____;使用圆弧插补指令,可以用绝对坐标编程,也可以用相对坐标编程。绝对坐标编程时,X、Z是圆弧终点坐标值;增量编程时,U、W是终点相对始点的距离。圆心位置的指定可以用R,也可以用I、K,R为圆弧半径值;I、K为圆心在X轴和Z轴上相对于圆弧起点的坐标增量; F为沿圆弧切线方向的进给率或进给速度。当用半径R来指定圆心位置时,由于在同一半径R的情况下,从圆弧的起点到终点有两种圆弧的可能性,大于180°和小于180°两个圆弧。为区分起见,特规定圆心角α≤180°时,用“+R”表示;α>180°时,用“-R”。注意:R编程只适于非整圆的圆弧插补的情况,不适于整圆加工。例如,图3-13中所示的圆弧从起点到终点为顺时针方向,其走刀指令可编写如下:G02 ; 绝对坐标,直径编程,切削进给率 ; 相对坐标,直径编程,切削进给率 X 50. 0 ; 绝对坐标,直径编程,切削进给率 ; 相对坐标,直径编程,切削进给率. 暂停指令G04G04指令用于暂停进给,其指令格式是:G04 P____或G04 X(U)____暂停时间的长短可以通过地址X(U)或P来指定。其中P后面的数字为整数,单位是ms;X(U)后面的数字为带小数点的数,单位为s。有些机床,X(U)后面的数字表示刀具或工件空转的圈数。该指令可以使刀具作短时间的无进给光整加工,在车槽、钻镗孔时使用,也可用于拐角轨迹控制。例如,在车削环槽时,若进给结束立即退刀,其环槽外形为螺旋面,用暂停指令G04可以使工件空转几秒钟,即能将环形槽外形光整圆,例如欲空转时其程序段为: G04 或G04 或G04 P2500;G04为非模态指令,只在本程序段中才有效。10. 英制和米制输入指令G20、G21G20表示英制输入,G21表示米制输入。G20和G21是两个可以互相取代的代码。机床出厂前一般设定为G21状态,机床的各项参数均以米制单位设定,所以数控车床一般适用于米制尺寸工件加工,如果一个程序开始用G20指令,则表示程序中相关的一些数据均为英制(单位为英寸);如果程序用G21指令,则表示程序中相关的一些数据均为米制(单位为mm)。在一个程序内,不能同时使用G20或G21指令,且必须在坐标系确定前指定。G20或G21指令断电前后一致,即停电前使用G20或G21指令,在下次后仍有效,除非重新设定。11. 进给速度量纲控制指令G98、G99在数控车削中有两种切削进给模式设置方法,即进给率(每转进给模式)和进给速度(每分钟进给模式)。(1)进给率,单位为mm/r,其指令为:G99; 进给率转换指令G01X____Z____F____; F的单位为mm/r(2)进给速度,单位为mm/min,其指令为: .G98; 进给速度转换指令G01X____Z____F____; F的单位为mm/minG98和G99都是模态指令,一旦指定就一直有效,直到指定另一方式为止。车削CNC系统缺省的进给模式是进给率,即每转进给模式,只有在用动力刀具铣削时才采用每分钟进给模式。12. 参考点返回指令G27、G28、G30参考点是CNC机床上的固定点,可以利用参考点返回指令将刀架移动到该点。可以设置最多四个参考点,各参考点的位置利用参数事先设置。接通电源后必须先进行第一参考点返回,否则不能进行其它操作。参考点返回有两种方法:(1)手动参考点返回。(2)自动参考点返回。该功能是用于接通电源已进行手动参考点返回后,在程序中需要返回参考点进行换刀时使用的自动参考点返回功能。自动参考点返回时需要用到如下指令:(1)返回参考点检查G27G27用于检验X轴与Z轴是否正确返回参考点。指令格式为:G27 X(U)____ Z(W)____X(U)、Z(W)为参考点的坐标。执行G27指令的前提是机床通电后必须手动返回一次参考点。执行该指令时,各轴按指令中给定的坐标值快速定位,且系统内部检查检验参考点的行程开关信号。如果定位结束后检测到开关信号发令正确,则参考点的指示灯亮,说明滑板正确回到了参考点位置;如果检测到的信号不正确,系统报警,说明程序中指令的参考点坐标值不对或机床定位误差过大。(2)参考点返回指令G28、G30G28 X(U) ____ Z(W) ____; 第一参考点返回,其中X(U)、Z(W)为参考点返回时的中间点,X、Z为绝对坐标,U、W为相对坐标。参考点返回过程如图3-14所示。G30 P2 X(U)____ Z(W)____; 第二参考点返回,P2可省略G30 P3 X(U)____ Z (W)____; 第三参考点返回G30 P4 X(U)____ Z(W)____; 第四参考点返回第二、第三和第四参考点返回中的X(U)、Z (W)的含义与G28中的相同。刀具返回参考点的过程,刀具从当前位置经过中间点(190,50)返回参考点,其指令为:G30 X190 Z50;G30 U100 W30。数控机床一般由输入装置、数控系统、伺服系统、测量环节和机床本体(组成机床本体的各机械部件)组成。如数控机床组成示意图所示。数控机床组成示意图1) 输入输出装置操作面板它是操作人员与数控装置进行信息交流的工具组成:按钮站/状态灯/按键阵列/显示器。下图为西门子的一款数控系统的操作面板,控制介质人与数控机床之间建立某种联系的中间媒介物就是控制介质,又称为信息载体。常用的控制价质有穿孔带、穿孔卡、磁盘和磁带。人机交互设备数控机床在加工运行时,通常都需要操作人员对数控系统进行状态干预,对输入的加工程序进行编辑、修改和调试,对数控机床运行状态进行显示等,也就是数控机床要具有人机联系的功能。具有人机联系功能的设备统称人机交互设备。常用的人机交互设备有键盘、显示器、光电阅读机等。通讯 现代的数控系统除采用输入输出设备进行信息交换外,一般都具有用通讯方式进行信息交换的能力。它们是实现CAD/CAM的集成、FMS和CIMS的基本技术。采用的方式有:串行通讯(RS-232等串口)自动控制专用接口和规范(DNC方式,MAP协议等)网络技术(internet,LAN等)。DNC是Direct Numerical Control或Distributed Numerical Control英文一词的缩写,意为直接数字控制或分布数字控制。2) 计算机数控(CNC)装置数控装置是数控机床的中枢。CNC装置(CNC单元)组成:计算机系统、位置控制板、PLC接口板,通讯接口板、特殊功能模块以及相应的控制软件。作用:根据输入的零件加工程序进行相应的处理(如运动轨迹处理、机床输入输出处理等),然后输出控制命令到相应的执行部件(伺服单元、驱动装置和PLC等),所有这些工作是由CNC装置内硬件和软件协调配合,合理组织,使整个系统有条不紊地进行工作的。CNC装置是CNC系统的核心3) 进给伺服驱动系统进给伺服驱动系统由伺服控制电路、功率放大电路和伺服电动机组成。伺服驱动的作用,是把来自数控装置的位置控制移动指令转变成机床工作部件的运动,使工作台按规定轨迹移动或精确定位,加工出符合图样要求的工件,即把数控装置送来的微弱指令信号,放大成能驱动伺动电动机的大功率信号。常用的伺服电动机有步进电动机、直流伺服电动机和交流伺服电动机。根据接收指令的不同,伺服驱动有脉冲式和模拟式,而模拟式伺服驱动方式按驱动电动机的电源种类,可分为直流伺服驱动和交流伺服驱动。步进电动机采用脉冲驱动方式,交、直流伺服电动机采用模拟式驱动方式。4) 机床电气控制机床电气控制包括两个方面,可如图所示箭头所指的内容。PLC(可编程的逻辑控制器)用于完成与逻辑运算有关顺序动作的I/O控制,而机床I/O电路和装置则是用来 实现I/O控制的执行部件,由继电器、电磁阀、行程开关、接触器等组成的逻辑电路;5) 测量装置数控机床中的测量装置数控机床中的反馈系统的工作,反馈系统的作用是通过测量装置将机床移动的实际位置、速度参数检测出来,转换成电信号,并反馈到CNC装置中,使CNC能随时判断机床的实际位置、速度是否与指令一致,并发出相应指令,纠正所产生的误差。在其它的控制领域,测量装置也有其应用机械手中的控制电机与测量装置测量装置安装在数控机床的工作台或丝杠上,按有无检测装置,CNC系统可分为开环和闭环系统,而按测量装置安装的位置不同可分为闭环与半闭环数控系统。开环控系统无测量装置,其控制精度取决于步进电机和丝杠的精度,闭环数控系统的精度取决于测量装置的精度。因此,检测装置是高性能数控机床的重要组成部分。6) 机床本体数控机床的机械部件包括:主运动部件,进给运动执行部件,如工作台、拖板及其传动部件,床身、立柱等支承部件;此外,还有冷却、润滑、转位和夹紧等辅助装置。对于加工中心类的数控机床,还有存放刀具的刀库,交换刀具的机械手等部件。数控机床是高精度和高生产率的自动化加工机床,与普通机床相比,应具有更好的抗振性和刚度,要求相对运动面的摩擦因数要小,进给传动部分之间的间隙要小。所以其设计要求比通用机床更严格,加工制造要求精密,并采用加强刚性、减小热变形、提高精度的设计措施。辅助控制装置包括刀库的转位换刀以上是一般数控车床的组成结构,在实习的过程中,我了解了一下公司生产的CJK0620型的数控机床,它由以下单元组成:变频器(型号lnovance),两个全数字交流伺服单元(型号 SD20B),控制变压器(型号BK1500,容量,频率50-60HZ,机级电压380V,次级电压220V),控制变压器(型号BK150,容量150V/A,频率50-60HZ,绝缘等级B,机级电压380V,次级电压11-12:36V;11-13:220V),风扇一个,丝杆两个,工作台,两个交流伺服电机,刀架,润滑装置,拖板等等尾 声时光如流水,两周的时间转眼即逝,这次实习给我的体会是:① 通过这次实习我们了解了现代数控机床的生产方式和工艺过程。熟悉了一些材料的成形方法和主要机械加工方法及其所用主要设备的工作原理和典型结构、工夹量具的使用以及安全操作技术。了解了数控机床方面的知识和新工艺、新技术、新设备在机床生产上的应用。② 在数控机床的生产装配以及调试上,具有初步的独立操作技能。③ 在了解、熟悉和掌握一定的数控机床的基础知识和操作技能过程中,培养、提高和加强了我的动手能力、创新意识和创新能力。④ 这次实习,让我们明白做事要认真小心细致,不得有半点马虎。同时也培养了我们坚强不屈的本质,不到最后一秒决不放弃的毅力!⑤培养和锻炼了劳动观点、质量和经济观念,强化遵守劳动纪律、遵守安全技术规则和爱护国家财产的自觉性,提高了我们的整体综合素质。是的,课本上学的知识都是最基本的知识,不管现实情况怎样变化,抓住了最基本的就可以以不变应万变。如今有不少学生实习时都觉得课堂上学的知识用不上,出现挫折感,可我觉得,要是没有书本知识作铺垫,又哪应付瞬息万变的社会呢?经过这次实习,虽然时间很短,可我学到的却是我一个学期在学校难以了解的。就比如何与同事们相处,相信人际关系是现今不少大学生刚踏出社会遇到的一大难题,于是在实习时我便有意观察前辈们是如何和同事以及上级相处的,而自己也尽量虚心求教。要搞好人际关系并不仅仅限于本部门,还要跟别的部门例如市场部的同事相处好,那工作起来的效率才高,人们所说的“和气生财”在我们的日常工作中也是不无道理的。而且在工作中常与前辈们聊聊天不仅可以放松一下神经,而且可以学到不少工作以外的事情,尽管许多情况我们不一定遇到,可有所了解做到心中有底,也算是此次实习的其中一个目的了。很快我们就要步入社会,面临就业了,就业单位不会像老师那样点点滴滴细致入微地把要做的工作告诉我们,更多的是需要我们自己去观察、学习。不具备这项能力就难以胜任未来的挑战。随着科学的迅猛发展,新技术的广泛应用,会有很多领域是我们未曾接触过的,只有敢于去尝试才能有所突破,有所创新。就像我在实习中接触到的零件的加工,虽然它的危险性很大,但是要亲自去操作而且要作出成品,这样就锻炼了我敢于尝试的勇气。

这个应该很简单的,我们考试的时候就设计过。

数控磨床毕业论文

立式钻削中心主轴系统结构设计 论文编号:JX472 有设计图,论文字数:19933,页数:64 有开题报告,任务书 摘要 随着数控技术的发展,传统的立式钻床、铣床等设备并不能满足高加工精度,高加工效率,高速加工的加工要求。为此,在传统的立式钻床、铣床与新型数控机床技术的基础上,开发了以钻削为主,并兼有攻丝、铣削等功能,且备有刀库并能够自动更换刀具来对工件进行多工序加工的数控机床—钻削中心。 本文主要针对钻削中心的主轴系统进行设计。在本设计中,主轴调速取消了齿轮变速机构,而是由交流电动机来调速;主轴与电机轴之间采用多楔带传动;主轴内部刀具的自动夹紧,则采用了碟形弹簧与气压传动技术;主轴的垂直进给采用了半闭环伺服进给系统;主轴的支承采用了适应高刚度要求的轴承配置。 总之,通过对主轴系统的设计,使系统满足了钻削中心高效、高加工精度的要求。 关键词 数控技术 钻削中心 主轴系统 Abstract With the development of NC technology, the traditional vertical drilling, milling machine and other equipment and can not meet the high precision machining, Processing high-efficiency, high-speed machining requirements. Therefore, in the traditional vertical drilling machine, CNC milling machine and new technology on the basis of developing a drilling mainly, and both tapping, milling, and other functions, With cutting tool can automatically replace the multi-process workpiece machining CNC machine tools – Drilling Center. This paper is concerned with the drilling spindle system design. In this design, the spindle speed of the complete elimination of the variable speed gear, and a fully by the AC motor is to be achieved. Wedge Belt Drive is used between spindle and motor shaft. Internal spindle automatic tool clamping, the use of a disc spring with pressure transmission technology;The vertical axis feed using a semi-closed-loop servo control system; The supporting of spindle uses high stiffness requirements of the bearing arrangement. In short, through the spindle system design, allowing the system to meet the drilling center efficient, high-precision processing of the request. Keywords NC technology Drilling Center spindle system 目录 摘要I Abstract II 第1章 绪论 1 数控技术发展状况及发展趋势 1 概述 1 数控技术国内外发展现状 2 数控系统的发展趋势 2 课题研究的目的与意义 5 设计方案的确定 6 第2章 钻削中心主轴部件结构设计 7 主轴的结构设计 7 主轴的基本尺寸参数的确定 7 主轴端部结构 8 主轴刀具自动夹紧机构 9 主轴的验算 11 主轴材料和热处理的选择 15 主轴传动的设计 16 传动方式的选择 16 多楔带带轮的设计计算 17 多楔带的选择及带轮尺寸参数的确定 19 传动件在主轴上的位置 20 主轴电动机的选择 21 主轴轴承 22 主轴轴承的选用 22 主轴轴承的配置 24 滚动轴承调整和预紧方法 24 主轴轴承的润滑 25 碟形弹簧的计算 27 钻削力分析 27 碟形弹簧设计计算 29 碟形弹簧的校核 31 气缸的设计计算 33 气缸的结构设计 33 气动回路的选择 37 第3章 主轴进给系统的设计 39 概述 39 伺服进给系统的组成 39 伺服进给系统的类型 39 进给系统设计计算 41 主要参数的设定 41 切削力的估算 41 滚珠丝杠副设计计算 42 丝杠的校核 45 选伺服系统和检测装置 47 伺服电机计算 47 结论49 致谢50 参考文献 51 附录1 52 附录2 57 以上回答来自:

前言由于各种机械的用途和性能不同,其零件的材料、结构和技术要求也各不相同。所以,各种零件的加工工艺是不同的,即使是同类型的零件,由于生产条件和批量大小的不同,其工艺也不同,因此,必须制定合理的工艺规程。在数控加工中,加工工艺路线表示刀具刀位点相对于工件运动的轨迹,也称进给路线。它不仅包括加工内容也反映加工顺序,是编程工作的主要依据。 摘要数控技术及数控机床在当今机械制造业中的重要地位和巨大效益,显示了其在国家基础工业现代化中的战略性作用,并已成为传统机械制造工业提升改造和实现自动化、柔性化、集成化生产的重要手段和标志。数控技术及数控机床的广泛应用,给机械制造业的产业结构、产品种类和档次以及生产方式带来了革命性的变化。数控机床是现代加工车间最重要的装备。它的发展是信息技术(1T)与制造技术(MT)结合发展的结果。现代的CAD/CAM、制造技术,都是建立在数控技术之上的。掌握现代数控技术知识是现代数控技术专业学生必不可少的。 本次毕业设计内容介绍了数控加工的特点、加工工艺分析以及数控编程的一般步骤。并通过一定的实例详细的介绍了数控加工工艺的分析方法。 关键词: 数控技术 加工工艺 编程 NC and NC machine tool technology in today's machine manufacturing industry in an important position and great benefits that its national infrastructure in the industrial modernization of the strategic role and has become a traditional machinery manufacturing industries to transform and enhance automation, flexible, Integrated production and an important means of signs. NC technology and the widespread application of NC machine tools, machinery manufacturing to the industrial structure, product variety and quality and production methods brought about a revolutionary change. NC machine tool processing workshop is the most important modern equipment. It is the development of information technology (1 T) and manufacturing technology (MT) with the result of the development. Modern CAD / CAM, FMS, CIMS, agile manufacturing and intelligent manufacturing technology, are built on the technology in the NC. NC master modern technology of modern machinery and electronic knowledge is essential to professional students. The design of the content on the characteristics of the NC, processing and analysis of the general steps NC programming. And, through a detailed example of the NC on the process of analysis. Key words: NC programming technology processing technology1毛坯的选择一、轴类零件的毛坯和材料 (一)轴类零件的毛坯 轴类零件可根据使用要求、生产类型、设备条件及结构,选用棒料、锻件等毛坯形式。对于外圆直径相差不大的轴,一般以棒料为主;而对于外圆直径相差大的阶梯轴或重要的轴,常选用锻件,这样既节约材料又减少机械加工的工作量,还可改善机械性能。 根据生产规模的不同,毛坯的锻造方式有自由锻和模锻两种。中小批生产多采用自由锻,大批大量生产时采用模锻。 (二)轴类零件的材料 轴类零件应根据不同的工作条件和使用要求选用不同的材料并采用不同的热处理规范(如调质、正火、淬火等),以获得一定的强度、韧性和耐磨性。 45钢是轴类零件的常用材料,它价格便宜经过调质(或正火)后,可得到较好的切削性能,而且能获得较高的强度和韧性等综合机械性能,淬火后表面硬度可达45~52HRC。 40Cr等合金结构钢适用于中等精度而转速较高的轴类零件,这类钢经调质和淬火后,具有较好的综合机械性能。 轴承钢GCr15和弹簧钢65Mn,经调质和表面高频淬火后,表面硬度可达50~58HRC,并具有较高的耐疲劳性能和较好的耐磨性能,可制造较高精度的轴。 精密机床的主轴(例如磨床砂轮轴、坐标镗床主轴)可选用38CrMoAIA氮化钢。这种钢经调质和表面氮化后,不仅能获得很高的表面硬度,而且能保持较软的芯部,因此耐冲击韧性好。与渗碳淬火钢比较,它有热处理变形很小,硬度更高的特性。 2零件图工艺分析在设计零件的加工工艺规程时,首先要对加工对象进行深入分析。对于数控车削加工应考虑以下几方面:1.构成零件轮廓的几何条件在车削加工中手工编程时,要计算每个节点坐标;在自动编程时,要对构成零件轮廓所有几何元素进行定义。因此在分析零件图时应注意:(1) 零件图上是否漏掉某尺寸,使其几何条件不充分,影响到零件轮廓的构成;(2) 零件图上的图线位置是否模糊或尺寸标注不清,使编程无法下手;(3) 零件图上给定的几何条件是否不合理,造成数学处理困难。(4) 零件图上尺寸标注方法应适应数控车床加工的特点,应以同一基准标注尺寸或直接给出坐标尺寸。2.尺寸精度要求分析零件图样尺寸精度的要求,以判断能否利用车削工艺达到,并确定控制尺寸精度的工艺方法。在该项分析过程中,还可以同时进行一些尺寸的换算,如增量尺寸与绝对尺寸及尺寸链计算等。在利用数控车床车削零件时,常常对零件要求的尺寸取最大和最小极限尺寸的平均值作为编程的尺寸依据。3.形状和位置精度的要求零件图样上给定的形状和位置公差是保证零件精度的重要依据。加工时,要按照其要求确定零件的定位基准和测量基准,还可以根据数控车床的特殊需要进行一些技术性处理,以便有效的控制零件的形状和位置精度。4.表面粗糙度要求表面粗糙度是保证零件表面微观精度的重要要求,也是合理选择数控车床、刀具及确定切削用量的依据。5.材料与热处理要求零件图样上给定的材料与热处理要求,是选择刀具、数控车床型号、确定切削用量的依据。2.1零件加工工艺分析1加工工艺路线的确定原则 加工工艺路线合理与否,关系到零件的加工质量与生产效率。在确定加工工艺路线时,应综合考虑在保证加工精度的前提下,应最大限度地缩短加工工艺路线。所以数控加工工艺路线应遵循以下原则: (1)保证产品质量,应将保证零件的加工精度和表面粗糙度要求放在首位。 (2)提高劳动生产率和降低生产成本。在保证零件加工质量的前提下,应力求加工路线最短,并尽量减少空行程时间,提高加工效率。 (3)在满足零件加工质量、生产效率等条件下,尽量简化数学处理的数值计算工作量,以简化编程工作。此外,确定加工工艺路线中,还要综合考虑零件的形状与刚度、加工余量、机床与刀具的刚度等,确定一次进给还是多次进给,以及设计刀具的切人点与切出点、切入方向与切出方向。在铣削加工中,是采用顺铣还是逆铣等。2加工工艺的选择要点 在数控加工编程中,应强化工艺规程,选择合理的加工路线,优化程序编制。在制定加工工艺路线中应关注以下事项: (1)在确定加工路线时,为缩短行程,应考虑尽量缩短刀具的空行程。通常通过合理选择起刀点,合理安排回空路线都能使空行程缩短,提高生产效率。 (2)在安排加工工艺路线时,同时也要兼顾工序集中的原则。零件在一次装夹中,尽可能使用同一把刀具完成较多的加工表面,以减少换刀次数,简化加工路线,缩短辅助时间。有条件者可采用复合刀具,当一把刀具完成加工的所有部位后,尽可能为下道工序作些预加工,如使用小钻头预钻定位孔或划位置痕.或者进行粗加工,然后再换刀进行精加工。 (3)要选择工件在加工后变形小的加工路线。如对于横截面积小的细长零件或薄板零件应采用分数次走刀至最终尺寸或应用对称去除余量法安排加工

SMT的丝网印刷机的机电一体化设计(机械设计部分)论文编号:JX400 包括设计图,论文,外文翻译,论文字数:25534.页数:44摘 要随着丝印技术在电子产品制造业中占有越来越重要的地位,它的应用,不仅提高了印制电路的生产效率,降低了印制电路的生产成本,还提高了印制电路板的加工质量。新型元器件的发展和应用必然对焊膏印刷工艺带来新的冲击。因此,我国必须加强这方面的开发与研究,赢得更为广阔的市场前景。为此SMT(表面组装技术)丝网印刷机设计在工业生产中具有重大的意义。本文设计一台模板印刷机,线性位移定位精度,最大进退速度Vmax=,最大行程400cm,可广泛应用于各种PCB焊膏印刷的场合。本文设计主要是整机总体设计,可细分为刮刀组件设计、传送导轨、基板定位夹持设计和基板支撑的升降台设计等;刮刀设计主要在于刮刀头移动与刮刀升降使用的传动类型和刮刀类型,传送导轨设计主要是宽度可调节,可以满足一系列尺寸PCB板传送;基板定位夹持设计主要设计PCB板的定位方式,而升降台设计在于设计支撑基板的方法。在刮刀组件设计中,刮刀组件运动采用了直齿轮与带齿条啮合方式,实现了刮刀组件往复运动速度平稳、均匀;刮刀升降设计传动中采用了滚珠丝杠传动类型,保证了线性位移定位精度,通过高度可调整刮刀压力。在基板定位夹持设计中,本文采用了顶面夹持的方式;而基板支撑的升降台设计中,则采用了四个锁紧气缸来对升降台进行驱动,能够防止突发事件,保证了工程的安全。辅以检测电气,本设计可成为真正的机电产品,适用一系列PCB板的焊膏印刷。设计任务达到了任务书的要求。关键词:表面组装技术;印刷机;基板;刮刀; Abstract As the importance role of Printing Technology in the manufacturing industry of electronic products, its application not just can improve the efficiency of printed circuit, but also can reduce the cost besides the improvement of the quality of products. Inevitably, the development and application of new type devices make an impact on the solder printing technology. Therefore, our country must strengthen the research and development at this item to gain further development . Thus ,the design of SMT screen process press is of great significance in industrialized production .This paper designs a stenciler, with the precision of linear displacement , maximum back-and-forth rate Vmax= and maximum distance 400cm ,which can be applied to various PCB solder printings. This paper mainly focuses on the complete machine design , which is composed of Squeegee module , rail , The design of PCB locating clamp as well as the rising table of board support, and so on .The design of Squeegee is the transmission and stencil printing type for the queegee head movement and stencil printing rising .And the design of rail is the transmission, which can adjust the width to meet the need of a series of PBC .The designing PCB locating clamp is the way to locate the PCB. And the rising table is to design the way for the board support. As for the Squeegee module, its movement uses the forms of the meshe of spur-gear and the rubber rack to achieve the goal of stability and evenness in back-and-forth movement of that . The transmission of squeegee rising design adopts the way of ball screw transmission type to assure the precision of linear displacement and adjust the pressure of squeegee through the height. The design of PCB locating clamp,introduces the way of the top clamp tooling. While, the rising table of board support employs four locking cylinders to transmit ,which can guarantee emergency not to happen ,meanwhile the security of the project can be ensured . Accompanying with detecting electric, the design may become the real electromechanical product, and is suitable for a series of PCB solder printing. Naturally, this design task can meet the requirement. key words:SMT; Stencil Printer; PCB; Squeegee 目 录引言 11 绪论 课题背景 SMT印刷机的概述 32 方案设计 方案设计的选择 设备的总体组成 各个组件功能的说明 53 机器的设计基础 方案的机械设计基础知识 一般传动形式应遵循如下原则 滑轨设计 步进电机概述 滚球丝杠副概述 气缸概述 134 方案设计分析 机器各个组件的详细设计 刮刀组件的设计过程 传送导轨与基板支撑工作台的设计过程 模板的夹持组件的设计 各个组件联动过程 刮刀组件步进电机和丝杠选择与校核 按精度要求验证允许的滚珠丝杠的最小螺纹底径d2m 确定滚珠丝杠副预紧力 滚珠丝杠的参数选择 螺纹滚道半径R 滚珠丝杠的长度的计算 锁紧气缸分析选用 345 小结 37谢 辞 38参考文献 39普通CA6140车床的经济型数控改造 机电一体化包括外文,说明书,和电气图,装配图 字数:14549,页数:35 论文编号:JX090 概 论一、数控系统发展简史1946年诞生了世界上第一台电子计算机,这表明人类创造了可增强和部分代替脑力劳动的工具。它与人类在农业、工业社会中创造的那些只是增强体力劳动的工具相比,起了质的飞跃,为人类进入信息社会奠定了基础。6年后,即在1952年,计算机技术应用到了机床上,在美国诞生了第一台数控机床。从此,传统机床产生了质的变化。近半个世纪以来,数控系统经历了两个阶段和六代的发展。二、国内数控机床状况分析(一)国内数控机床现状 近年来我国企业的数控机床占有率逐年上升,在大中企业已有较多的使用,在中小企业甚至个体企业中也普遍开始使用。在这些数控机床中,除少量机床以FMS模式集成使用外,大都处于单机运行状态,并且相当部分处于使用效率不高,管理方式落后的状态。 2001年,我国机床工业产值已进入世界第5名,机床消费额在世界排名上升到第3位,达亿美元,仅次于美国的亿美元,消费额比上一年增长25%。但由于国产数控机床不能满足市场的需求,使我国机床的进口额呈逐年上升态势,2001年进口机床跃升至世界第2位,达亿美元,比上年增长。近年来我国出口额增幅较大的数控机床有数控车床、数控磨床、数控特种加工机床、数控剪板机、数控成形折弯机、数控压铸机等,普通机床有钻床、锯床、插床、拉床、组合机床、液压压力机、木工机床等。出口的数控机床品种以中低档为主。(二)国内数控机床的特点 1、新产品开发有了很大突破,技术含量高的产品占据主导地位。 2、数控机床产量大幅度增长,数控化率显著提高。 2001年国内数控金切机床产量已达万台,比上年增长。金切机床行业产值数控化率从2000年的提高到2001年的。 3、数控机床发展的关键配套产品有了突破。 三、数控系统的发展趋势1.继续向开放式、基于PC的第六代方向发展 目 录第一章 前言……………………………………………………………………1 概论……………………………………………………………………2 摘要……………………………………………………………………7 设计要求 总体方案设计要求………………………………………………8 设计参数…………………………………………………………9 .其它要求…………………………………………………………12第三章 进给伺服系统机械部分设计与计算 进给系统机械结构改造设计……………………………………13 进给伺服系统机械部分的计算与选型…………………………13第四章 步进电动机的计算与选型 步进电动机选用的基本原则……………………………………24 步进电动机的选择………………………………………………25第五章 主轴交流伺服电机 主轴的变速范围…………………………………………………26 初选主轴电机的型号……………………………………………26 主轴电机的校核…………………………………………………26第六章 微机控制系统硬件电路设计 控制系统的功能要求……………………………………………27 硬件电路的组成…………………………………………………27 设计说明…………………………………………………………27第七章 车床改造的结构特点…………………………………………30第八章 安装调整中应注意的问题……………………………………31参考文献………………………………………………………………32总结……………………………………………………………………33以上回答来自: 汽车主减速器试验台结构设计 机电一体化字数:13658.页数:33,包括,任务书,说明书, 所有设计图 论文编号:JX085 汽车主减试验台结构设计摘要:主减速器是汽车传动系中减小转速、增大扭矩的主要部件,其性能对整车质量有着直接影响,在生产线上通过试验台对主减速器进行全方位的检测能够有效地保证产品质量。本文介绍了汽车主减试验台的机械结构设计及电气系统设计。系统可以实现对三种主减速器的综合性能检测,并能根据预先设定的技术参数进行产品性能评判。机械部分的设计包括试验台底座,传动系统及夹具系统的设计。其中底座可实现对整个试验台以及电机的支撑定位。传动系统实现对电机输出动力的传送并且将转矩转速传感器接入整个系统。夹具系统实现主减速器的定位和夹紧同时保证主减速器输入轴能和传动系统形成稳定的连接。关键词:主减速器 ;试验台 ;机械结构设计 Abstract: The final drive is the main vehicle of transmission in reducing speed and increase torque of the main components,its performance has the direct influence to the entire vehicle quality, carries on the omni-directional examination on the production line through the experimental system of final drive to be able effectively to guarantee the product quality. This article introduced the mechanism design and the Electrical system design. The system may realize to three types of final drive overall performance examinations, and evaluate the production performance according to the technique parameters beforehand. Machine parts include platform system, transmission system and jig system. Platform system may realize to strut and localization of electromotor. The transmission system may realize to translate power and connect torque tachometer to overall system. The jig system may realize to locate and clamp the final drive and guarantee the final drive input axis can form the stable connection with the transmission system. Keywords: final drive ;experimental system ;Mechanical structure design 目录中文摘要 1Abstract 21 引言 主减速器简介 国内外主减速器检测技术意义及发展现状 42 主减实验台总体方案 主减速器工作环境和要求 53总体设计思路和方案 底座部分设计 传动系统设计 电机选择 传动带选择 联轴器选择 传感器选择 轴承校核 齿轮参数 传动法兰选择 夹具定位系统 气缸的选择 224 电气控制系统 工业控制计算机的组成 系统控制模块 295 检测原理与步骤、 检测原理 检测步骤 30六.结论 31致谢 31参考文献 32以上回答来自:

你好 专业代做毕业论文 看名字+

控制电路毕业论文

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

论文题目:PLC和变频技术在恒压供水系统中的应用 PLC和变频技术在恒压供水系统中的应用WwWWW 摘要: 本文是针对节能和提高供水质量问题而提出的恒压供水系统设计和应用的研究.文中分析了旧系统存在的问题,介绍了水位自动检测技术及保护措施,阐述了采用变频技术、PLC技术及自动控制技术相结合来实现的恒压供水控制的系统总体设计方案和软件设计。通过实践证明.该系统具有较强的功能.对供水质量、节约能源和运行可靠性具有较好的改善。关键词:变频技术;PLC技术;恒压供水;自启动1 引言随着各住宅小区的宿舍楼等一座座高楼拔地而起,相应的生活用水量也大幅度增加。人们对提高供水质量的要求越来越高,另外人们的节能意识及对运行的可靠性的要求越来越强。采用变频器及PLC技术实现的无塔恒压供水系统,不仅能提高供水质量,而且在节约能源和运行可靠性具有较好的改善。其中,采用变频调速的主要目的是通过调速来恒定用水管道的压力以达到节能的目的,恒压供水则是为了满足用户对流量的要求。应用PLC技术是为了实现系统的软启动,减少手动操作或抚慰操作,同时替代部分继电器减少机械触点的故障,增强可靠性。下面笔者根据这方面的工作经验谈谈在恒压供水系统设计和实践过程中的一些思路和做法。2 变频器的工作原理在恒压供水控制系统中,关键技术主要是变频技术。目前效率最高、性能最好的系统是变压变频调速控制系统。2.1变频器的基本构成变频器的基本构成如图1所示,由主回路(包括整流器、滤波器、逆变器)和控制电路组成。 整流器的作用是把三相交流整流成直流。滤波器是用来缓冲直流环节和负载之间的无功能量。逆变器最常见的结构形式是利用六个半导体器件开关组成的三相桥式逆变电路,有规律地控制逆变器中主开关的通与断,可以得到任意频率的三相交流输出。控制电路主要是完成对逆变器的开关控制、对整流器的电压控制以及完成各种保护功能等。2.2变频器基本原理 变频器的基本原理是利用逆变器中的开关元件,由控制电路按一定的规律控制开关元件的通断,从而在逆变器的输出端获得一系列等幅而不等宽的矩形脉冲波形,来近似等效于正弦电压波。图2所示出正弦波的正半周,并将其分为n等分(n=12)。每一等分的正弦曲线与横轴所包围的面积都用一个与此面积相等的等幅矩形所代替。这样,由n个等幅而不等宽的矩形脉冲所组成的波形与正弦波的正半周等效。正弦波的负半周也可以用相同的方法来等效。可采用正弦波与三角波相交的方案来确定各分段矩形脉冲的宽度。当逆变器输出端需要升高电压时,只要增大正弦波相对三角波的幅值,这时逆变器的输出的矩形脉冲幅值不变而宽度相应增大,达到了调压的要求。当逆变器的输出端需要变频时,只要改变正弦波的频率就可以了。3 控制系统总体设计过去的供水控制系统投资多,采用的模式为多台小功率水泵供水。在运行实践中暴露出主控电路设计不合理和逻辑控制设计不合理的现象。新系统总体设计方案如图3所示。在该供水系统的控制电路中除采用了变频器(VVVF),还采用一些先进控制装置如数字调节器(PID)、可编程控制器(PLC)等,这些装置都是以电脑芯片为内核完成各自不同的控制功能。为简化控制电路,根据负荷需要,使用一台18.5KW大容量水泵供水。为提高使用的安全系数,选用一台日本富士22.5KW变频器进行水泵调速,该变频器内置PID调节功能,但不具备参数监视功能。为能有效监视调节工况,特选数字显示调节器进行监视和控制,以备实现串级PID控制。鉴于外部I/O可控点数不多,可编程控制器PLC选用20点即可满足控制要求。4 水位检测电路设计4.1水位检测开关考虑到水位检测装置要求故障率少,运行可靠,为简化检测环节,设计中采用结构简单的浮子式水位检测开关,但为防止信号串扰,另外增加了一个隔离转换装置。该装置内选用了干簧继电器用以提高开关接点的可靠性和使用寿命。4.2水位检测逻辑控制水位检测逻辑控制功能如前所述完全由可编程控制器PLc编程实现,减少了硬件配置,提高了运行的可靠性和应用的灵活性。PLC的I/O地址分配见图4(a)所示,简化梯形图如图4(b)所示。其逻辑电路主要完成如下功能,见图4(b)所示。(1)水位信号保持功能水位开关检测分别由PLC的常开接点实现。由于水位由于簧管的常开接点来检测,只有在水面越过该点时闭合,低于该点即断开,因此信号需由PLC保持。(2)水位信号显示、报警、保护功能水位正常时01002动作,使输出绿灯亮。水位低时01003动作,使输出红灯亮,且通过其常闭接点停供水泵。水位高时20000、01000同时启动,使输出黄灯亮(闪光l5秒转平光)且无条件停蓄水泵。 5 操作保护功能设计除了常规保护功能外还增加了人性化操作功能。考虑到泵短时间内的频繁启动对泵运行不利,故设置1分钟内只允许连续启动两次,第三次需延时3分钟后进行,以利泵的散热,延长设备使用寿命,减少功耗。编程时可采用定时器和计数器配合来实现。这项功能在启停调试设备过程中得到检验。6 系统自启动功能设计(1)自启动概述为了方便运行维护人员,有两种情况可以考虑自启动:①系统断电一段时间后恢复供电的自启动,系统在正常运行工况下突然停电时,如果其它检测无异常则来电后可实现自启动,这一点在夜间更为重要,可给维护人员带来方便,此项功能得到了维护人员的认可。②低水位使泵跳闸后水位恢复时的自启动管网用水负荷过大或蓄水水压过低流量减少造成的低水位,会引起供水泵跳闸。在水位恢复正常后可实现自启动。(2)自启功能的实现 如图5所示。图中,“自启动条件”有两个:一是计数器C103接点,二是“水位正常”信号接点。由于计数器C103具有停电记忆特性,所以只要水位恢复正常时01002闭合就可自启动。其过程是:微分继电器20006(13)产生的微分信号由20009继电器保持,再经时间继电器"1"020延时后使其输出的常开接点"1"020(见图4b)接通启动回路,则水泵重新运转。 (3)自启动的预置自启动功能可根据用户需要事先预置,否则,该功能会被屏蔽。设计方案如下:①预置和解除均借用运行状态下的启动按钮。预置时按动启动按钮三下使计数器C103启动,则其常开接点C103闭合。解除自启功能:按住启动按钮1秒,使计数器C103复位或按停止按钮使泵停运的同时也解除了自启动设置。②预置的显示借用水位正常灯(闪光3秒),解除借用高水位报警灯(闪光3秒)。7 结束语上述无塔供水控制系统经投入使用,各项设计功能运行正常,供水质量有了很大提高,单位大功率设备用电量也明显减少。期间,还经历了系统实际异常情况自动处理的考验,如“储水罐满水后的蓄水泵自动跳闸”、“电力网停电来电后的供水泵自启动”、“电源缺相报警”等,这些功能都得到了很好的验证。参考文献[1]张燕宾主编.变频调速应用实践.机械工业出版社,2001.[2]北京四通工控技术有限公司编.FRENIC5000G11S/P11S说明手册.2001.[3]北京鹭岛公司编.OMRON可编程控制器使用手册.2000.[4]高勤主编.电器与PLC控制技术.高等教育出版社,2001. 借鉴一下吧,以前搞了很多,找不到了~不好意思

温度相关的毕业设计 ·基于单片机的数字温度计的设计·基于MCS-51数字温度表的设计·单片机的数字温度计设计·基于单片机的空调温度控制器设计·基于数字温度计的多点温度检测系统·设施环境中温度测量电路设计·DS18B20数字温度计的设计·多点温度采集系统与控制器设计·基于PLC和组态王的温度控制系统设计·温度监控系统的设计·用单片机进行温度的控制及LCD显示系统的设计·单片机电加热炉温度控制系统·全氢罩式退火炉温度控制系统·数字温度计的设计·基于单片机AT89C51的语音温度计的设计·基于单片机的多点温度检测系统·基于51单片机的多路温度采集控制系统·基于单片机的数字显示温度系统毕业设计论文·基于MCS51单片机温度控制毕业设计论文·西门子S7-300在温度控制中的应用·燃气锅炉温度的PLC控制系统·焦炉立火道温度软测量模型设计·温度检测控制仪器·智能温度巡检仪的研制·电阻炉温度控制系统·数字温度测控仪的设计·温度测控仪设计·多路温度采集系统设计·多点数字温度巡测仪设计·LCD数字式温度湿度测量计·64点温度监测与控制系统·温度报警器的电路设计与制作·基于单片机的数字温度计的电路设计·全氢煤气罩式炉的温度控制系统的研究与改造·温度检测与控制系统·红外快速检测人体温度装置的设计与研制·具有红外保护的温度自动控制系统的设计·基于单片机的温度测量系统的设计·数字温度计设计·DS18B20温度检测控制·PN结(二极管)温度传感器性能的实验研究·多功能智能化温度测量仪设计·软胶囊的单片机温度控制(硬件设计)·空调温度控制单元的设计·大容量电机的温度保护——软件设计·大容量电机的温度保护 ——硬件电路的设计·基于DS18B20温度传感器的数字温度计设计·热轧带钢卷取温度反馈控制器的设计·基于单片机的温度采集系统设计·多点温度数据采集系统的设计·基于单片机的数字式温度计设计·18B20多路温度采集接口模块·基于单片机的户式中央空调器温度测控系统设计·单片机电阻炉温度控制系统设计·基于单片机的电阻炉温度控制系统设计·基于ARM的嵌入式温度控制系统的设计·基于DS18B20的多点温度巡回检测系统的设计·基于单片机的多点无线温度监控系统·基于MSC1211的温度智能温度传感器·用集成温度传感器组成测温控制系统·室内温度控制报警器·自动温度控制系统·烤箱温度控制系统·基于单片机的电加热炉温度控制系统设计·基于PLC的温度监控系统设计·基于无线传输技术的室温控制系统设计——温度控制器软件设计·温度箱模拟控制系统·基于无线传输技术的室温控制系统设计——温度控制器硬件设计·数字式温度计的设计·温度监控系统设计·基于单片机的电阻炉温度控制系统·基于plc的温度湿度检测和显示系统设计·基于单片机的3KW电炉温度控制系统的设计·腔型肿瘤热疗仪温度控制系统设计·基于AT89S51单片机的数字温度计设计·吹塑薄膜挤出机温度控制与检测系统设计·电加热炉PLC温度自适应控制系统的研究·高压母线温度自动监测装置的设计·高压母线温度自动检测装置·小型热水锅炉单片机温度控制系统·消毒柜单片机温度控制·嵌入式系统在多点温度控制中的应用·单片机温度控制系统·上下限温度报警器的设计·基于单片机的饮水机温度控制系统设计·基于单片机的温度测量系统设计

已把我毕业论文的一部分发给你了,应该是你想要的。还需要其它的说一声

数控玻璃磨床毕业论文

典型零件加工工艺拟订及自动编程(Mastercam) 字数:14571,页数:37 论文编号:JX071 前言 数控机床是综合应用计算机、自动控制、自动检测及精密机械等高新技术的产物。它的出现以及所带来的巨大效益引起世界各国科技界和工业界的普遍重视。随着数控机床已是衡量一个国家机械制造业技术改造的必由之路,是未来工厂自动化的基础。需要大批量能熟练掌握数控机床编程、操作、维修的人员和工程技术人员。但是我们装备制造业仍存在“六有六缺”的隐忧,即“有规模、缺实力,有数量、缺巨人,有速度、缺效益,有体系、缺原创,有单机、缺成套,有出口、缺档次。目前,振兴我国机械装备制造业的条件已经具备,时机也很有利。我们要以高度的使命感和责任感,采取更加有效的措施,克服发展中存在的问题,把我国从一个制造业大国建设成一个制造业强国,成为世界级制造业基地之一。 我选择这个题目是因为此零件既包括了数控车床的又含有数控铣床的加工。用到了铣端面、铣凸台、钻通孔、扩孔、绞孔、攻螺纹。对我们学过的知识大致都进行了个概括总结。这份毕业设计主要分为5个方面:1.抄画零件图2.工艺分析3.切削用量选择4.工艺文件5.计算编程。零件图通过在AUTOCAD上用平面的形式表现出来,更加清楚零件结构形状。然后具体分析零件图由那些形状组成。数控加工工艺分析,通过对零件的工艺分析,可以深入全面地了解零件,及时地对零件结构和技术要求等作必要的修改,进而确定该零件是否适合在数控机床上加工,适合在哪台数控机床上加工,此零件我选择在加工中心上进行是因为加工中心具有自动换刀装置,在一次安装中,可以完成零件上平面的铣削,孔系的钻削、镗削、铰削、铣削及攻螺纹等多工位的加工。加工的部位可以在一个平面上,也可以在不同的平面上因此,既有平面又有孔系的零件是加工中心首选的加工对象,接着分析某台机床上应完成零件那些工序或那些工序的加工等。需要选择定位基准;零件的定位基准一方面要能保证零件经多次装夹后其加工表面之间相互位置的正确性,另一方面要满足加工中心工序集中的特点即一次安装尽可能完成零件上较多表面的加工。定位基准最好是表面已有的面或孔。再确定所有加工表面的加工方法和加工方案;选择刀具和切削用量。然后拟订加工方案确定所有工步的加工顺序,把相邻工步划为一个工序,即进行工序划分;先面后孔的加工顺序,因为平面尺寸轮廓较大,用平面定位比较稳定,而且孔的深度尺寸又是以平面为基准的,故应先加工平面后加工孔。最后再将需要的其他工序如普通加工工序插入,并衔接于数控加工工序序列之中,就得到了要求零件的数控加工工艺路线。切削用量经过查表和计算求得,然后在填入工艺文件里面。最后就是编程编程分手工编程和自动编程。这里采用MASTERCAM软件自动编程。整个设计就算是完成了。最后,让我们在数控机床上加工出该零件达到要求。 数控技术的广泛应用给传统的制造业的生产方式,产品结构带来了深刻的变化。也给传统的机械,机电专业的人才带来新的机遇和挑战。通过本次毕业设计让我们毕业生更好的熟悉数控机床,确定加工工艺,学会分析零件,掌握数控编程。为即将走上工作岗位打下良好的基础 目录 1.抄画零件图 1 2.零件的工艺分析与加工方案拟定 1 零件工艺分析 1 定位基准选择 1 选择机床 1 选择加工方法 1 工件的夹紧和定位 2 3.切削用量的确定 2 毛坯的外轮廓尺寸 3 工序一切削用量的选择 3 工序二切削用量的选择 5 4.零件的工艺卡 12 工序二的工件安装与零点设定卡 12 工序二的工序卡 12 工序二的刀具卡 13 Master CAM软件介绍 14 Master CAM实体模拟加工 14 总结28 参考文献 29机械类毕业设计资料网( )

先拟题,如:浅谈数控机床故障判断与维护【论文关键词】:数控技术;数控机床;故障;维护【论文摘要】:数控技术是用数字信心对机械运动和工作过程控制的技术。数控技术的应用不但给传统制造业带来了革命性的变化,更使制造业成为工业化的象征。数控机床是集高、精、尖技术于一体,集机、电、光、液于一身的高技术产物。具有加工精度高、加工质量稳定可靠、生产效率高、适应性强、灵活性好等众多优点,在各个行业受到广泛欢迎,在使用方面,也是越来越受到重视。但由于它是集强、弱电于一体,数字技术控制机械制造的一体化设备,一旦系统的某些部分出现故障,就势必使机床停机,影响生产,所以如何正确维护设备和出现故障时能及时抢修就是保障生产正常进行的关键。1.数控机床的维护对于数控机床来说,合理的日常维护措施,可以有效的预防和降低数控机床的故障发生几率。首先,针对每一台机床的具体性能和加工对象制定操作规程建立工作、故障、维修档案是很重要的。包括保养内容以及功能器件和元件的保养周期。其次,在一般的工作车间的空气中都含有油雾、灰尘甚至金属粉末之类的污染物,一旦他们落在数控系统内的印制线路或电子器件上,很容易引起元器件之间绝缘电阻下降,甚至倒是元器件及印制线路受到损坏。所以除非是需要进行必要的调整及维修,一般情况下不允许随便开启柜门,更不允许在使用过程中敞开柜门。另外,对数控系统的电网电压要实行时时监控,一旦发现超出正常的工作电压,就会造成系统不能正常工作,甚至会引起数控系统内部电子部件的损坏。所以配电系统在设备不具备自动检测保护的情况下要有专人负责监视,以及尽量的改善配电系统的稳定作业。当然很重要的一点是数控机床采用直流进给伺服驱动和直流主轴伺服驱动的,要注意将电刷从直流电动机中取出来,以免由于化学腐蚀作用,是换向器表面腐蚀,造成换向性能受损,致使整台电动机损坏。这是非常严重也容易引起的故障。 摘自无忧无虑论文网

相关百科

热门百科

首页
发表服务