首页

> 学术发表知识库

首页 学术发表知识库 问题

模拟汽车左右转向灯控制毕业论文

发布时间:

模拟汽车左右转向灯控制毕业论文

我以前做过类似的论题,朋友若是能给点辛苦费,我来帮你完成。

文章什么要求啊字数了?

第一部分 摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。 汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。 关键词:电路 单行线制 系统 导线 各种车灯 目录:(1)全车线路的连接原则 (2)识读电路图的基本要求 (3)以东风EQ1090型载货汽车线路为例全车线路的认读 a.电源系统线b.起动系统线路c.点火系统线路 d.仪表系统线路e.照明与信号系统线路 (4)全车电路的导线 (5)识读图注意事项 论汽车电路的识读方法 在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。 一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。 蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。 汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。 灯光照明电路是指控制组合开关、前大灯和小灯的电路系统;信号电路是指控制组合开关、转弯灯和报警灯的电路系统;仪表电路是指点火开关、仪表板和传感器电路系统;启动电路是指点火开关、继电器、起动机电路系统;充电电路是指调节器、发电机和蓄电池电路系统。以上电路系统是必不可少的,构成全车电路的基本部分。辅助电路是指控制雨刮器、音响等电路系统。随着汽车用电装备的增加,例如电动座椅、电动门窗、电动天窗等,各种辅助电路将越来越多。 旧式汽车电路比较简单,一般情况下,它们的正极线(俗称火线)分别与保险丝盒相接,负极线(俗称地线)共用,重要节点有三个,保险丝盒、继电器和组合开关,绝大部分电路系统的一端接保险丝或开关,另一端联接继电器或用电设备。但在现代汽车的用电装置越来越多的情况下,线束将会越来越多,布线将会越来越复杂。随着汽车电子技术的发展,现代汽车电路已经与电子技术相结合,采用共用多路控制装置,而不是象旧式汽车那样通过单独的导线来传送。 使用多路控制装置,各用电负载发送的输入信号通过电控单元(ECU)转换成数字信号,数字信号从发送装置传输到接收装置,在接收装置转换成所需信号对有关元件进行控制。这样就需在保险丝、开关和用电设备之间的电路上添加一个多路控制装置(参阅广州雅阁后雾灯线路简图)。采用多路控制线路系统可。 第二部分 第二部分简要介绍了全车线路识读的原则、要求与方法以及电路用线的规格。主要针对其在东风EQ1090车型 汽车电路与电器系统应用情况作了概括性的阐述。其包括了电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等主要部分进行了说明。通过对东风EQ1090车型的系统学习,为以后接触到各类不同车型打下个坚实的基础。 一、全车线路的连接原则 全车线路按车辆结构形式、电器设备数量、安装位置、接线方法不同而各有不同,但其线路一般都以下几条原则: (1)汽车上各种电器设备的连接大多数都采用单线制; (2)汽车上装备的两个电源(发电机与蓄电池)必须并联连接; (3)各种用电设备采用并联连接,并由各自的开关控制; (4)电流表必须能够检测蓄电池充、放电电流的大小。因此,凡是蓄电池供电时,电流都要经过电流表与蓄电池构成的回路。但是,对于用电量大且工作时间较短的起动机电流则例外,即启动电流不经过电流表; (5)各型汽车均陪装保险装置,用以防止发生短路而烧坏用电设备。 了解上面的原则,对分析研究各种车型的电器线路以及正确判断电器故障很有帮助。 二、基本要求 一般来讲全车电路有三种形式,即:线路图、原理图、线束图。 (一)、识读电路图的基本要求 了解全车电路,首先要识读该车的线路图,因为线路图上的电器是用图形符号以及外形表示的,容易识别。此外,线路图上的电器设备的位置与实际车上的位置是对应的,容易认清主要设备在车上的实际位置,同时,也可对设备的功能获得感性认识。 识读电路图时,应按照用电设备的功用,识别主要用电设备的相对分布位置;识别用电设备的连接关系,初步了解单元回路的构成;了解导线的类型以及电流的走向。 (二)、识读原理图的基本要求 原理图是一图形符号方式,把全车用电设备、控制器、电源等按照一定顺序连接而成的。它的特点是将各单元回路依次排列,便于从原理上分析和认识汽车电路。 识读原理图时,应了解全车电路的组成,找出各单元回路的电流通路,分析回路的工作过程。 (三)、识读线束图的基本要求 线束图是用来说明导线在车辆上安装的指导图。图上每根导线所注名的颜色与标号就是实际车上导线的颜色和到端子的所印数字。按次数字将导线接在指定的相关电器设备的接线柱上,就完成了连接任务。即使不懂原理,也可以按次接线。 总上所述,掌握汽车全车线路(总线路),应按以下步骤进行: (1)对该车所使用的电气设备结构、原理有一定了解,知道他的规格。 (2)认真识读电路图,达到了解全车所使用电气设备的名称、数量和实际安排位置;设备所用的接线柱数量、名称等。 (3)识读原理图应了解主要电气设备的各接线柱和那些电器设备的接线柱相连;该设备分线走向;分线上开关、熔断器、继电器的作用;控制方式与过程。 (4)识读线束图应了解该车有多少线束,各线束名称及在车上的安装位置;每一束的分支同向哪个电器设备,每分支又有几根导线及他们的颜色与标号,连接在那些接线柱上;该车有那些插接器以及他们之间的连接情况。 (5)抓住典型电路,触类旁通。汽车电路中有许多部分是类似的,都是性质相同的基本回路,不同的只是个别情形。 三、全车线路的认读 下面以东风EQ1090型载货汽车线路为例,分析说明各电子系统电路的特点。东风EQ1090型载货汽车全车线路主要由电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等组成。 (一)电源系统线路 电源系统包括蓄电池、交流发电机以及调节器,东风EQ1090汽车配装电子式电压调节器,电源线路如图。其特点如下: (1)发电机与蓄电池并联,蓄电池的充放电电流由电流表指示。接线时应注意电流表的-端接蓄电池正极,电流表的+端与交流发电机‘电枢’接线柱A或B连接,用电设备的电流也由电流表+端引出,这样电流表才能正确指示蓄电池的充、放电电流值。 (2)蓄电池的负极经电源总开关控制。当发电机转速很低,输出电压没有达到规定电压时,由蓄电池向发电机供给磁场电流。 (二)起动系统线路 启动系统由蓄电池、启动机、启动机继电器(部分东风EQ1090型汽车配装复合继电器)组成,系统线路如图。 启动发动机时,将点火开关置于“启动”档位,启动继电器(或复合继电器)工作,接通起动机电磁开关电路,从而接通起动机与蓄电池之间得电路,蓄电池便向起动机供给400~600A大电流,起动机产生驱动转矩将发动机起动。 发动机起动后,如果驾驶员没有及时松开点火开关,那么由于交流发电机电压升高,其中性点电压达5V时,在复合继电器的作用下,起动机的电磁开关将自动释放,切断蓄电池与起动电动机之间的电路,起动机便会自动停止工作。 根据国家标准GB9420--88的规定,汽车用起动电动机电路的电压降(每百安的培的电压差)12V电器系统不得超过0.2V,24V电器系统不的超过0.4V。因此,连接启动电动机与蓄电池之间的电缆必须使用具有足够横截面积的专用电缆并连接牢固,防止出现接触不良现象。 (三)点火系统线路 点火系统包括点火线圈、分电器、点火开关与电源。系统线路如图,其特点: (1)在低压电路中串有点火开关,用来接通与切断初级绕组电流; (2)点火线圈有两个低压接线端子,其中‘-’或‘1’端子应当连接分电器低压接线端子,“+”或“15”端子上连接有两根导线,其中来自起动机电磁开关的蓝色导线,(注:个别车型因出厂年代不同其导线颜色有可能不同)应当连接电磁开关的附加电阻短路开关端子“15a”;白色导线来自点火开关,该导线为附加电阻(电阻值为1.7欧姆左右)所以不能用普通导线代替。起动发动机时,初级电流并不经过白色导线,而是由蓄电池经起动电磁开关与蓝色导线直接流入点火线圈,使附加电阻线被短路,从而减小低压电路电阻,增大低压电流,保证发动机能顺利起动。 (3)在高压电路中,由分电器至各火花塞的导线称为高压导线,连接时必须按照气缸点火顺序依次连接。 (四)仪表系统线路 仪表系统包括电流表、油压表、水温表、燃油表与之匹配的传感器,系统线路如图所示。其特点如下: (1)电流表串联在电源电路里,用来指示蓄电池充、放电电流的大小。其他几种仪表相互并联,并由点火开关控制。 (2)水温表与燃油表共用一只电源稳压器,其目的是当电源电压波动时起到稳压仪表电源的作用,保证水温表与燃油表读数准确。电源稳压器的输出电压为8.64V+/-0.15V。 报警装置有油压过低报警灯和气压过低蜂鸣器,分别由各自的报警开关控制。当机油压力低于50~90kpa时,油压过低报警开关触电闭合,油压过低指示灯电路接通而发亮,指示发动机主油道机油压力过低,应及时停车维修。东风EQ1090型汽车采用气压制动系统,当制动系统的气压下降到340~370kpa时,气压过低蜂鸣器鸣叫,以示警告。 (五)照明与信号系统线路 照明与信号系统包括全车所有照明灯、灯光信号与音响信号,系统线路如图所示。其特点如下: (1)前照灯为两灯制,并采用双丝灯泡; (2)前照灯外侧为前侧灯,采用单灯丝,其光轴与牵照灯光轴成20度夹角,即分别向左右偏斜20度。因此,在夜间行车时,如果前照灯与前侧灯同时点亮,那么汽车正前方与左右两侧的较大范围内都有较好的照明,即使在汽车急转弯时,也能照亮前方的路面,从而大大改善了汽车在弯道多、转弯急的道路上行驶时的照明条件; (3)前照灯、前下灯、前侧灯及尾灯均由手柄式车灯开关控制; (4)设有灯光保护线路; (5)制动信号灯不受车灯总开关控制,直接经熔断丝与电源连接,只要踩下制动踏板,制动邓开关就会接通制动灯电路使制动灯发亮; (6)转向信号灯受转向灯开关控制; (7)电喇叭由喇叭按钮和喇叭继电器控制

汽车电子控制技术可以写电路原理、控制系统设计等等。开始也不咋会,还是学姐给的文方网,写的《基于模型驱动的汽车电子软件开发方法研究》,十分顺利就过了汽车电子行业技术创新模式与企业策略研究浅谈我国汽车电子产业现状及发展建议基于Internet的汽车电子远程诊断技术研究面向汽车电子的嵌入式软件开发应用软件的研究与分析我国汽车电子产业投资价值研究汽车电子机械制动系统CAN总线通信研究面向汽车电子领域的嵌入式软件可靠技术的研究与开发汽车电子产品的开发汽车电子测试平台CAN总线通信实时性与可靠性研究参照AUTOSAR标准的汽车电子通信与应用基于模型的汽车电子软件综合方法研究基于专利分析的吉林省汽车电子产业技术预测研究现代汽车电子技术的应用现状及发展趋势面向汽车电子的嵌入式软件开发基本平台关键技术研究与实现汽车电子中的LED驱动电路的研究设计世界汽车电子产业发展现状及趋势基于AUTOSAR的汽车电子设备驱动及抽象的设计与实现基于汽车开放系统架构的汽车电子云制造架构基于汽车电子控制网络的CAN总线网络环境的研究汽车电子半实物仿真平台的研究面向汽车电子基础软件的配置技术研究与实现汽车电子的电磁兼容性研究基于CAN总线的汽车灯控网络系统的设计与实现基于技术创新扩散视角的我国汽车电子产业空间分布研究基于模型的汽车电子通信开发平台研究与实现大规模定制下汽车电子产品快速设计系统的研究与开发未来20年汽车电子技术发展趋势汽车电子技术的应用与发展趋势浅析新一代汽车电子系统的网络体系结构若干关键技术研究汽车电子稳定性程序(ESP)控制方法及联合仿真研究汽车电子转向系统转向执行电机的控制研究大陆汽车电子(长春)有限公司的服务营销研究参照AUTOSAR标准的汽车电子板级支撑平台设计与实现轻型汽车电子机械制动及稳定性控制系统研究基于专利分析的我国汽车电子技术进化研究汽车电子防盗报警器电路的可靠性设计分析面向汽车电子OS的模型驱动开发方法的研究与实现构建针对车载汽车电子控制装置的硬件在环仿真测试平台

汽车转向灯毕业论文范

可以上汽修网站上找找,查查资料,参考,最好是自己写。

汽车检测与维修技术专业(大专)毕业论文题目 那一天老师打来电话,说数控技术已经招满了. 虽然我的分数在里面是最高的,但是我们要关系没关系,要钱没钱,那能怎么样呢? 我终于明白金钱和权利有多么重要. 典型职业岗位(举例) 在汽车制造厂、汽车改装厂及汽车维修企业从事汽车等各类机动车的检测、运行、维修等技术与管理工作。推荐院校 东北林业大学职业技术学院(汽车检测与维修)、福建交通职业技术学院(汽车检测与维修)、吉林交通职业技术学院(汽车检测与维修)、天津职业技术师范学院(汽车检测与维修)、辽宁省交通高等专科学校(汽车维护与检测)、哈尔滨工业大学职业技术学院(汽车维修工程)、太原大学(汽车维修与营销) 推荐院校 东北林业大学职业技术学院(汽车检测与维修)、福建交通职业技术学院(汽车检测与维修)、吉林交通职业技术学院(汽车检测与维修)、天津职业技术师范学院(汽车检测与维修)、辽宁省交通高等专科学校(汽车维护与检测)、哈尔滨工业大学职业技术学院(汽车维修工程)、太原大学(汽车维修与营销)温馨提示 1.汽车修理工的年收入情况(单位:元/年),以合肥市为例:低位数7200,中位数12300,高位数18700。2.有关汽车人才的网站很多,如中和汽车人才网、中国汽车人才招聘网、第一汽车人才网、中华汽车人才网、中国人才汽车网、汽车销售人才网等,还有一些地方性的网站,如成都汽车人才招聘网、杭州汽车人才招聘网、北京汽车人才招聘网等等。3.根据《厦门市2005年非师范类毕业生人才供需情况分析报告》(厦门市人事局人才开发处,2005年1月25日),汽车检测与维修专业(大专)在厦门市是短线专业,即供不应求。艺基础、机械设计基础、电工电子基础、汽车结构、汽车制造工艺学、汽车维修、汽车检测技术、汽车电子技术、金工实习、机械设计基础课程设计、汽车制造工艺与装备课程设计、汽车制造与维修综合实训、毕业实习与毕业设计等,以及各校的主要特色课程和实践环节。 71.氧传感器故障检测72.传统诊断在轿车维修中的应用73.广本雅阁的空调系统故障的诊断与检修74.电子点火系统的诊断与维修75.上海帕萨特B5的空调系统故障的诊断与检修76.论车身计算机系统的结构控制原理与检修77.上海通用别克空调控制系统故障分析与检修78.广本雅阁电气设备及附件系统常见故障分析与检修79.汽车常用防盗系统综述80.汽车防撞技术综术81.现代汽车音响防干扰设计82.汽车电控技术分析83.奥迪A6电气设备及附件系统常见故障分析与检修84.上海通用别克电气设备及附件系统常见故障分析与检修85.标致307电气设备及附件系统常见故障分析与检修

发动机自动熄火的诊断分析发动机自动熄火的诊断分析摘要: 现代的轿车发动机大多是电子控制燃油喷射型的汽油发动机,自动熄火的原因很多,首先要分析自动熄火的症状。汽车发动机经过长期的使用后或者人为的原因导致发动机自动熄火,那是什么原因导致发动机自动熄火呢?那就要我们带着问题来探研问题的所在,从中认我们知道发动机为什么自动熄火,这样我们才可以以后避免发动机自动熄火后带给我们的麻烦,防范于未然。关键词: 发动机 自动熄火 诊断分析 检测 维修 熄火故障原因绪论在汽车技术日新月异的今天,电脑控制技术已经应用到汽车的各个系统,各种新结构、新技术的不断涌现,使汽车维修人员面临着更加大的挑战。现代汽车维修技术的特征表现为“七分诊断,三分修理” ,发动机常见故障现象、故障原因、诊断方法和思路、诊断与排除等发生了很大的改观,因此,我通过长时间的在校学习,并参考了大量的维修资料写下了该文。一 发动机的概述1.1发动机的简介发动机机体是构成发动机的骨架,是发动机各机构和各系统的安装基础,其内、外安装着发动机的所有主要零件和附件,承受各种载荷。因此,机体必须要有足够的强度和刚度。机体组主要由气缸体、曲轴箱、气缸盖和气缸垫等零件组成。1.2发动机的工作原理(配图)发动机是一种能量转换机构,它将燃料燃烧产生的热能转变成机械能。要完成这个能转换必须经过进气,把可燃混合气(或新鲜空气)引入气缸;然后将进入气缸的可燃混合气(或新鲜空气)压缩,压缩接近终点时点燃可燃混合气(或将柴油高压喷入气缸内形成可燃混合气并引燃);可燃混合气着火燃烧,膨胀推动活塞下行实现对外作功;最后排出燃烧后的废气。即进气、压缩、作功、排气四个过程。把这四个过程叫做发动机的一个工作循环,工作循环不断地重复,就实现了能量转换,使发动机能够连续运转。把完成一个工作循环,曲轴转两圈(720°),活塞上下往复运动四次,称为四行程发动机。而把完成一个工作循环,曲轴转一圈(360°),活塞上下往复运动两次,称为二行程发动机。1.3常见发动机的结构(图)发动机的结构主要由以下的两大机构和五大系统组成。曲柄连杆机构:包括活塞、连杆、曲轴、飞轮、活塞环及活塞销等;配气机构: 包括凸轮轴、进排气门、正时齿轮、气门弹簧及气门座等部份;燃油供给系:包括汽油箱、汽油泵、汽油滤清器、燃油喷射系统、空气滤清器、进排气管及消声器等部份;冷却系:包括水泵、散热器、风扇、节温器及水管等部份;润滑系:包括机油泵、机油滤清器、机油集滤器及油道等部份;点火系:包括蓄电池、发电机、点火线圈、火花塞及高压线等部份;起动系:包括起动机及其附属装置。其中气缸盖、气缸体、进气歧管由铝合金制成,而气缸套及凸轮轴则由铸铁制成;并采用平衡轴的方式平平衡因曲柄连杆机构产生的旋转惯性力和往复惯性力,以降低发动机的振动。二 发动机的检修2.1发动机的拆卸(步骤)拆下蓄电池的负极接线,把发动机室机盖提起到垂直位置,再卸下空气滤清器。放掉冷却液,然后拆下散热器。对装有空调的发动机,卸下空调压缩机的动皮带,然后拆下压缩机,并在不拆软管的情况下把它移到一边。松开动力泵储液罐的注液盖,然后用注射器抽净罐中的液压油,再拧上储液罐盖。拆下油门拉线,拆下液压制动助力器的固定螺栓或在进气歧管上的固定螺母,撒下安装接头用的两个密封垫圈。从缸盖后面的支架上松开真空助力器软管。拆下水泵上的散热器上软管和节温器壳上的储液罐软管。拆下水泵出水口右侧的暖风水箱软管和缸盖后面的左侧的软管。对装有液压气动悬架的车辆,从缸盖的右侧卸开液压泵。拆下燃油分配器和燃油压力调节器上的软管,然后用干净的抹布在装配螺栓处堵住油管以防燃油外泄。拆除全部影响发动机拆卸的导线和软管以及与此有关的例如冷启动阀、电磁压力调节器、空气流量传感器、节气门壳、辅助空气装置、冷却液温度传感器和缸盖温度开关、油底壳油位传感器、交流发电机、起动机和点火线圈等零部件、元器件和总成。拆下点火系统电子开关装置的两个电气连接器。然后拆下诊断插座与翼子板的固定螺栓,从插座的后面拆下电气导线连接器。拆下进气歧管上的机油滤清器导线护罩支撑与安装支架的固定螺栓。从各个连接件和电缆夹上松开导线和电缆并把拆下的导线和电缆与发动机分离开来。提升车辆并把它可靠地支承在支撑台架上。对装有发动机下托架的车辆,卸下前支撑、螺栓、后凸缘螺母和螺栓,然后拆下下托架。对于早期的车辆,松开座架并拆下发动机前减震垫。拆下凸缘螺母或螺栓,然后把排气管与歧管分离开来。松开软管夹,拆下螺母以松开发动机右侧连接件上的动力转向软管,并用干净抹布堵住软管和金属管。拆下发动机搭铁线的固定螺栓和螺母,然后取下搭铁线。拆卸下传动轴,拆下发动机支架与托架的固定螺栓。用提升装置把发动机连同变速器一起从发动机室中提。2.2发动机的安装发动机组装程序与要求如下:(步骤)在组装发动机时要全部使用新垫和新油封,并且保证全部零件都涂有适量的机油以及在缸筒中和曲轴箱内不残留金属多余物。在安装活塞与连杆组件时,要翻转缸体使之右侧面朝上,然后把连杆伸进缸筒中,再用活塞环夹紧器夹紧活塞环并把活塞引进到缸筒中,再用木锤把或类似的硬木棒把活塞与连杆组件顶到位。用规定的力矩拧紧连杆轴承盖螺母和主轴承盖螺栓,然后用手转动曲轴以确定其转动阻力适度。对于拉伸螺栓的连杆,不要使用扭力扳手拧紧,而要用转角器拧紧,而且要确保拉伸段的直径大于8.89-0.076mm、被连杆轴承盖挡住部分的直径应不小于7.87mm。出于标准化上的原因,对于全部连接用螺栓相对于转角器的拧紧转角为90°+10°,也就是在以29.83N·m-33.9N·m的扭矩拧紧后再拧转90°;请注意对于190E款型,在第三个主轴承盖处装有曲轴止推垫。此止推垫的两个凸耳放在主轴盖的凹槽中以防止其转动,在安装时应使止推垫带有槽的一面面向曲轴的止推面。分解机油泵并检查齿轮的齿隙,然后检查泵盖安装面的翘曲量,若超过规定,则用机械加工的方式使其平整,若泵盖的内表面磨损严重,则予以更换。安装上机油泵。再安装上油底壳、下曲轴箱,并按规定的力矩拧紧固定螺栓,然后把缸体的上表面转动向上,装上缸垫和缸盖,按规定顺序和力矩拧紧缸盖固定螺栓。安装上气门室盖,并按规定的力矩拧紧固定螺栓,最后把余下的全部零部件安装到发动机上。利用吊装设备把发动机装入发动机室中。2.3发动机的磨合发动机总成装配后,一般要求经过冷磨合与热试后才能投入使用,通过冷磨与热试对提高零件配合质量,保证正确的间隙(如气门间隙和准确的正时),从而提高发动机的动力性,经济性,工作可靠性和使用寿命.2.3.1 发动机的冷磨合发动机的冷磨合是指以发动机或其他动力带动发动机运转磨合的过程.其功用是使相对配合的零件之间进行自然磨合.由于冷磨合后,还必须对发动机进行拆检与清洗,所以冷磨时可不安装燃油供给系统和点火系统各附件,如果已安装上,则应拆下汽油机活塞,以减小冷磨合汽缸内的压力,减小发动机零件的机械负荷.2.3.2 发动机的热试将装配好的发动机,以其本身产生的动力进行运转试验的过程,热试可将发动机安装到车上后进行.热试时,发动机工作温度达到正常后,应使发动机在不同的转速下运转.此外,还应该检查有无漏水,气及油现象,检查调整气门间隙,点火正时,怠速转速等,观察电流表,冷却液温度表,机油压力表指示灯是否正常,听该发动机工作是否有异响,检查发动机汽缸是否符合规定标准,热试的时间为1.5-2.0小时。三 发动机自动熄火的故障维修3.1故障现象故障现象 发动机运转或汽车行驶过程中自动熄火,而再起动并没有多大困难的现象。3.2常见故障原因进气管路真空泄漏;怠速调整不当、节气们体过脏、怠速系统控制不良等造成的怠速不稳;燃油压力不稳定,例如电动燃油泵电刷过度磨损或接触不良,或燃油泵滤网堵塞等;废气再循环阀门阻塞或底部泄漏;燃油泵电路、喷油器驱动电路等电路有接触不良等故障;燃油泵继电器、EFI继电器、点火继电器不良等;点火系工作不良。例如高压火弱,火花塞使用时间过久,点火正时不对,点火线圈接触不良或热态时存在匝路导致没有高压火花或高压火花弱,低压线路接触不良,绝缘胶损坏间歇搭铁等;节气门位置传感器不良;空气流量计或进气压力传感器有故障;冷却液温度传感器、氧传感器有故障;曲轴位置传感器有故障,如无转速信号(插头末插好、曲轴位置传感器信号线断、传感器定位螺钉松动、间隙失调、传感器损坏等);曲轴位置传感器信号齿圈断齿,会引起加速时熄火,曲轴位置传感器内电子元件温度稳定性能差,会导致信号不正常,会引发间歇性熄火故障;ECU有故障。3.3故障诊断的一般步骤(步骤次序)先进行故障自诊断,检查有无故障码出现。如有,则按所显示的故障码查找故障原因。要特别注意会影响点火、喷油、怠速、配气相位变化的传感器和执行器(如发动机转速及曲轴位置传感器、凸轮轴位置传感器、冷却液温度传感器、节气门位置传感器、怠速控制阀等)有无故障。如发动机自动熄火发生在怠速工况,且熄火后可立即起动可按怠速不稳易熄火进行检查。采用故障模拟征兆法振动熔丝盒,各线束接头,看故障能否出现。然后进一步检查各线事业接头有无接触不良,各搭铁线有无搭救铁不良,目视检查线事业绝缘层有无损坏和间歇搭铁现象。采用故障模拟征兆法改变ECU、点火器等工作环境温度,重现故障,进而诊断故障原因。试更换点火线圈、火花塞等。在不断试车过程中,有多通道示波器同时监测发动机转速及曲轴位置传感器、空气流量计、电脑的5V参考电压等信号。如果在熄火前有喘振、加速不良的现象再慢慢熄火的话,故障可能发生在供油不畅上。可接上燃油压力表,最好能将压力表用透明胶固定于前挡风玻璃上,再试车确定。如存在熄火时油压力过低的现象,则应检查油箱、电动燃油泵、燃油滤清器、油压调节器及燃油泵控制电路。试车时接上专用诊断仪,读取故障出现前后的数据,进行对比分析,从而找出故障。按故障逐个检查排除。3.4故障诊断的相关要点(分点讲出来)在对电控系统引出的故障诊断时,千万不要忘记先进行基本检查。例如:在试图诊断电控单元控制的燃油喷射系统故障之前,一定要确保进气管路无泄漏,配气正时、点火正时。如果存在这些不良现象,发动机的抗负荷交变能力就差,在工作状况突变的情况下可能熄火,如加速熄火、制动熄火、开空调熄火、挂档熄火等。有些汽车的间歇性故障是难于诊断的,除非是检查汽车时正好显示故障。因此,当进行诊断测试时,故障症状不出现,故障就难以诊断。解决方法是放车到维修站,由技师驾车在可能出现出问题的状态下行驶,直到故障出现。这种方法就不凑巧了,因为这样故障短时间不出现,就得无休止地驾车。还在一种方法就是故障出现就打电话给维修站,这一方法对长时间熄火无法起动很受用。一般就来这种现象只会越来越严重,如一时无法确诊,也可待故障明显后再作检查。检查不定时的怠速熄火故障时,有时换火花塞是必要的。当怀疑空气流量计不良(如空气流量计热线过脏;内部电路连接焊点脱落、接触不良等)时,可用示波器检查空气流量计信号电压波形。当怀疑进气压力传感器不良时,应先检查传感器真空胶管,看是否破裂,弯折,是否有时漏气,有时不漏气,使进气压力传感器信号时而正常,时而不正常,造成发动机收加速踏板时熄火。还应检查对喷油量影响较大的传感器。冷却液温度传感器不仅对喷油量有影响,也对修正点火提前角的信号之一,应要重视。有时某些车型的氧传感器信号电压无变化,容易造成发动机加速时熄火。如果在较高速行驶中先出现加速不良而造成的熄火,要重点检查油路;如果较高速过程中突然熄火则重点检查电路方面,高压火花是否过弱是必要检查项目之一。突然熄火、间歇熄火还应该对控制点火的主要传感器发动机转速用曲轴位置传感器进行检查。故障模拟试验方法。在故障诊断中最困难的情形是有故障,但没有明显的故障征兆。在这种情况下必须进行彻底的故障分析,然后模拟与用户车辆出现故障时相同的条件和环境,进行就车诊断。这样有助于故障处理。四 故障实例4.1道奇车自动熄火故障故障现象一辆三星道奇乘用车,在行使了一段路程后其发动机突然自动熄火,再起动时发动机不能着火,但过了大约15min后起到发动机时又能正常起到,且怠速平稳,加速性能良好。故障分析在冷机状态下测量燃油系统压力,压力正常;在发动机自动熄火后测量燃油系统压力,该系统的压力明显低于正常值;进一步检查时发现在冷机时燃油泵输出的燃油压力正常,在热机时燃油泵输出的燃油压力偏低,因此燃油泵本身油问题。排除方法更换该燃油泵。4.2康明斯发动机自动熄火故障Cummins康明斯发动机-自动熄火-的故障原因分析与处理方法1:燃油用完或燃油关断阀切断油路处理:检查燃油关断阀,看它是否开启。如系关闭,应予打开。检查油箱中有否燃油。如果油箱无油,则加油原因。2:燃油质量低劣处理:检查更换燃油原因。3:燃油输油管道漏气处理:检查连接件有无松动,管道有无破裂,滤清器是否未上紧等,并一一校正原因。4:内输油路或外输油路漏油处理:对所有滤清器、密封垫、管道和连接件作外油路漏油检查。用加压办法作内油路漏油检查。修理或更换原因。5:燃油泵驱动轴断裂处理:检查齿轮泵驱动轴是否断裂。重新调校或更换原因。6:节气门传动杆调整不当或磨损处理:检查磨损情况,更换并调整传动杆原因。7:怠速弹簧装配不对处理:重新装配调整原因。8:限速器离心锤装配不当处理:重新调校原因。9:燃油中有水分或蜡质处理:更换燃油,更换所有滤清器,装设燃油加热器原因。10:燃油泵校准不正确处理:重新调校燃油泵原因。11:密封垫漏气处理:进行压力检查,找出漏气的气缸,更换并修理。4.3奔驰轿车自动熄火故障故障现象一款1996年产奔驰豪华型W140 S320轿车。该车在行驶中突然熄火,再次着车,ABS、ASR、驻车制动报警灯和制动蹄片报警灯都同时点亮,并且着车几分钟后,车辆再次熄火。故障原因及分析接车后,打开发动机舱盖,发动机及线束一切都十分整齐,看来此车保养得非常好,车主说此车从来没出现过大毛病,所以不必考虑发动机有什么问题。打开点火开关,仪表灯微亮,将点火开关旋至起动挡,起动机“哒哒”作响不运转,好像蓄电池严重亏电。用万用表测起动时电压,只有9V,利用强起动蓄电池着车后,ABS、ASR、驻车制动灯及制动蹄片报警灯都常亮不灭,取下起动蓄电池,不一会儿发动机又熄火。再次强起动,测发电机的电压为蓄电池电压,说明发电机不发电。测量发电机D+端子,有+14V电压输出,证明发电机良好。为什么发电机良好却不发电,而且发电机充电指示灯也不亮。于是拆下组合仪表,取出充电指示灯灯泡,没有烧坏,线路也没有问题。无奈之下,只有人为强行让发电机发电。这样做有一定的危险,但为了进一步验证发电机是否真是好的,只好采取此办法。方法是:取一个点火开关处火线,接在一个二极管的正极上,二极管负极接在发电机D+端子上,人为给一个激励信号;利用这种办法着车,测发电机电压果然能达到13.9—14.3V,加油时也正常,说明发电机是好的。虽然发电机电压正常了,但4个故障灯仍然常亮不灭,利用奔驰专用电脑STAR2000专用诊断仪准备进入ABS系统,发现通信错误,根本无法进入。取下ABS电脑盒,按资料电路图,找到电脑端子的火线和地线,发现ABS电脑缺少一个常电源。从蓄电池上取一常电源接入后,ABS、ASR灯熄灭,诊断仪也能进入且无故障,但驻车制动及制动蹄片报警灯仍然亮。逐个进行检查,驻车制动制动开关正常,制动蹄片及制动油液位都正常,再次从ABS电脑端子常火入手查看电路图。此常火是从基本电脑内部输出供给,检查基本电脑上的4个10A熔丝,结果3号10A熔丝烧断,取一个10A熔丝插上后又被烧断。仔细检查,发现3号熔丝上被人接了一根线,顺线找到一个防盗报警喇叭。此喇叭是后加装的,取下此线,再接一个10A熔丝,没有再烧断,原来防盗喇叭负载电流过大,只要一工作就会烧断10A熔丝。再测ABS电脑端子电源线,恢复正常,着车观察,驻车制动报警灯及制动蹄片报警灯也不亮了,一切正常。难道不发电也是此熔丝造成的吗?于是把发电机线恢复成原车线,测量发电机发电机电压13.8V正常,至此故障全部排除。一个小小的熔丝竟然惹出这么大的麻烦,使维修走了不少弯路。基本电脑是给其他电脑模块及仪表供电的一个中转站,所有模块的电源供给都从基本电脑输出,所以基本电脑上的4个熔丝十分重要。在此提醒维修界人士,千万不要胡乱改动原车线路,给维修带来困难,此例故障就是因加装防盗器的那个修理工,没有找到常电源,(奔驰车蓄电池在行李舱)就从电脑处取一个电源,但此10A熔丝无法带动防盗器喇叭,故防盗器喇叭一工作就把10A熔丝烧了,所以提醒朋友们检修车辆一定要找到根源,才能根治故障。4.4阳光车发动机自动熄火故障现象一辆东风日产阳光乘用车,在行驶3.3万km时到专营店进行正常维护,但两天后出现怠速转速较低,当车速达到100km/h—120km/h的条件下紧急制动时发动机会自然熄火,而且该现象出现的频率越来越高,每天达到五次以上,根据以上故障现象得出下列分析。故障原因分析利用CONSULT-Ⅱ故障检测仪进行故障检测,检测到“CMP SEN/ CIR-B1[P0340]”,即曲轴位置传感器及其故障线路故障。清除线路代码后,重新调取故障代码,该故障代码不再出现,但仍有紧急制动时熄火的现象。检查曲轴位置传感器(位于分电器内)及其线路,未见异常。利用替换法更换了分电器总成,故障未能排除。后经进一步检查发现,该车没有冷机提速功能,在发动机温度为37℃时,其怠速转速只有450r/min,但发动机运转平稳;当发动机达到正常工作温度后,在接通前照灯、空调等负荷的情况下行驶紧急制动,才会出现熄火现象,在熄火前发动机转速先将到400r/min以下,然后再慢慢熄火,不是立即熄火。熄火后发动机可立即起动。根据以上故障特征,判断故障发生在发动机的燃油系统或进气系统上,因为如果点火系统出现了故障,导致发动机熄火,其熄火具有突然性,并且熄火后发动机不易重新起动。为找到故障的原因,又做了以下检测:1、测量燃油系统压力。在发动机熄火时,燃油系统的油压始终保持在250kpa,说明燃油系统正常;2、检测发动机的基本怠速状况。热机后拔掉节气门位置传感器(TPS)线束侧连接器,发动机怠速在788r/min左右,说明发动机基本怠速正常;3、利用检测仪测试发动机加速后迅速松开加速踏板时的转速特性曲线,发现该车发动机在怠速补偿方面不良,就重点检查怠速控制系统。利用检测仪读取乘用车的数据流,并与其正常值进行比较。通过比较发现,该车在37℃时发动机转速只有450r/min,但发动机ECU向怠速电动机却已经下达了转动54步的指令;而在正常情况下,怠速电动机只要转动15步,发动机转速就能达到513r/min。由此断定怠速电动机或其控制线路可能存在故障。利用检测仪对怠速电动机进行执行测试。正常情况下,热机后当怠速电动机达到100步时,发动机转速可达到2000r/min左右,但该车在改变怠速电动机转动的步数时,发动机转速没有改变。从而进一步确认怠速电动机或其控制线路存在故障。更换怠速电动机,该故障无法排除。拔下怠速电动机线束侧连接器,接通点火开关,检查怠速电动机线束侧连接器的电源端子,其电压正常。(注意:必须用测试灯进行测量,这样可以排除电源线路接触不良或虚接电阻过大的现象,如果用万用表检测,容易忽视这方面的故障。)经测量发现怠速电动机线束侧连接器上各端子与ECU线束侧连接器上相应端子的导通性良好,怠速电动机控制线路中没有塔铁现象;进一步检查发现,在ECU线束侧连接器上有一个端子脱出,将其重新装复到原位,用检测仪测试乘用车在加速后迅速松开加速踏板时特性曲线,发现该曲线恢复正常,对怠速电动机进行执行测试,也正常,路试过程中没有出现发动机自动熄火的现象。该故障排除。4.5捷达王突然熄火故障原因故障原因行驶中突然慢慢熄火,再启动后发动机工作不稳,接着很快又熄火。诊断与排除发动机慢慢熄火与燃油系统有关,但经检查燃油系统工作正常。拔下中央高压线做跳火试验,发现火花很强,说明点火系统正常。再检查点火正时,发现分电器固定螺栓松动,上下活动分电器,分电器可上下窜动。将分电器固定好后,发动机能顺利启动。但发动机工作不稳定,加速时排气管放炮。从新出现的故障现象分析,该车可能是点火错乱。检查分电器盖、分火头,均无故障。检查正时皮带,松紧合适,不可能发生跳齿现象。这时想起分电器固定螺栓曾松动过,会不会发生分电器齿轮折断现象呢?由于分电器固定螺栓松动,造成分电器向上窜动,齿轮不规则折断,同时螺栓松动使分电器左右转动,造成发动机熄火。重新启动发动机时,由于分电器齿轮断齿,使点火正时错乱,发动机工作不稳,加速不良。这时,再怎么调分电器,也调不出正确的点火正时。折下分电器,结果发现分电器齿轮有不规则断齿现象。更换分电器后,故障排除。4.6时代超人发动机自动熄火故障的诊断与排除故障现象一辆桑塔纳2000时代超人,发动后不能正常运行,运转几分钟后就自行熄火,并且熄火后短时间内无法再启动着车;停放十几分钟后又能正常启动了,但过几分钟后又自动熄火。故障如此反复,无法正常使用。故障诊断与排除接修此车后,首先试启动发动机,发动机启动成功,运转较为平稳;原地加速试验,感到发动机很闷,响应不够灵敏,加速性能较差;运转大约3min左右,发动机怠速出现不稳且抖动了几次就自行熄火了;立刻再次启动发动机,没有任何着车的迹象。接上VAG1552诊断仪,读取发动机故障码,没有故障代码。随后又对汽油压力、高压线、火花塞进行了检查,未发现异常。检查配气正时的情况,也未发现问题。经过以上几项检查,时间大约已用了十几分钟,而后再次试启动发动机,发动机居然又能正常启动运转了。趁着发动机尚能运转的时机,立刻读取了该车的数据流,也未发现明显的异常。大约3min后,发动机再次自行熄火,仍旧是当时无法立即启动着车。这个故障确实很奇怪!各项检查和数据都显示该车没有任何能造成发动机不着车的问题,那么问题究竟出在哪里呢?仔细回想一下之前的一系列检查过程,再结合加速性能较差的现象,最后把问题的焦点集中在了排气系统上。笔者让一名员工启动发动机,自己到车尾观察消声器的排气情况,发现在启动过程中,消声器处竟然一丝的尾气也未排出,由此可以断定问题的确出在排气系统上。将车辆架起,断开排气管与三元催化器的接口,再启动发动机,发动机顺利着车,怠速运转较长时间,也未出现自行熄火的现象。拆下三元催化器检查,发现三元催化器的内芯已经被严重堵塞。由此断定,这个怪病的根源就在这个堵死的三元催化器上。更换新的三元催化器后,试车,运转平稳,加速有力,故障彻底排除。当三元催化器完全堵死后,发动机运转时的废气无法正常排出;当排气侧的废气压力增大到和作功压力相近的时候,发动机就自动熄火;熄火后排气管内的压力无法马上消除,所以在熄火后立刻启动时,无法再次着车。当排气管内的废气通过三元催化器内芯上残存的微小缝隙逐渐缓慢的卸压后,又能再次启动着车,这就出现熄火后等待十几分钟又能启动的现象。通过这个故障让我们认识到,对于一个故障的诊断,要全方位地去分析和思考,不能只局限于依靠仪器诊断的数据来判断。结论: 发动机是汽车的动力装置,其作用是将燃烧产生的热能转变为机械能来驱使汽车行驶的.它是汽车的唯一动力输出源,发动机自动熄火的诊断分析是对汽车发动机维修的一种技术要求,由于发动机维修复杂、涉及面广,对我们的诊断与维修造成一定困难。因此对汽车维修人员需要更高的要求。但在我们许多的维修人员中,对发动机的理论知识、各系统的工作原理不够了解,在分析问题时考虑不全面,同时在自动熄火的诊断分析问题的过程中条理不清晰,不能对症下药,常带一种漫无目的碰运气的心理进行维修,往往花了大钱、更换了许多零件却仍不能解决问题。本文对发动机自动熄火诊断分析进行了全面的分析,优化了维修工艺的程序。更进一步提高了维修人员的维修技能。参考文献:[1] 李清明,汽车发动机故障分析详解,北京:机械工业出版社, 2007[2] 李良洪,汽车维修工,北京:化学工业出版社,2004[3] 陈文华,汽车发动机构造与维修 北京:人民交通出版社 2003[4] 陆刚,汽车发动机的养护与维修实例 北京:电子工业出版社2006[5]刘越琪,发动机电控技术,北京:机械工业出版社, 2002参考资料:

汽车转向灯毕业论文设计

我以前做过类似的论题,朋友若是能给点辛苦费,我来帮你完成。

毕业设计开题报告 题 目:论新型能源发展与环境保护关系分析 院 部:专 业: 汽车检测与维修 学 号: 学生姓名: 指导教师: 二O一O年 四 月 十二 日 一、题目:论新型能源发展与环境保护关系分析二、题目来源:网络参考三、题目类型:毕业设计四、[摘 要]:机动车业的发展和普及,为人们的生活带来许多方便。但是,随着机动车数量的不断增加,排气污染对城市环境的影响越来越明显。对机动车污染现状的分析,探讨如何控制机动车排放物的措施和方法。将针对城市环境污染,新能源汽车,和新型能源汽车发展与环境保护关系展开讨论,提出相关新型能源汽车在环境保护方面的建议。 五、[关键词]:环境污染,汽车废气,新能源汽车六、阅读的主要参考资料:[1]康龙云.新能源汽车与电力电子技术. 北京:机械工业出版社 2006.07 [2]边耀璋. 汽车新能源技术. 北京:人民交通出版社 2007.03[3]邵毅明. 压缩天然气汽车改装与维修. 北京:人民交通出版社 2004.09[4]蔡凤田. 汽车节能与环保实用技术. 北京:人民交通出版社 2005.01[5]崔胜民. 新能源汽车技术. 北京:北京大学出版社 2009.09[6]绍毅明. 汽车新能源与节能技术. 北京:人民交通出版社 2008.08 [7]黄家诚. 汽车新能源技术. 北京:人民交通出版社 2009.06七、解决思路通过查找资料,最终实现理论与实际相结合,达到真正数据的准确,操作的可行。八、设计的主要阶段与进度安排1.2010年3月10日至3月15日:毕业论文选题目。2.2010年3月16日至2010年3月30日:阅读相关资料和网络上考察。3.2010年4月1日至4月10日:根据阅读和考察的资料开始撰写论文。4.2010年4月11日至4月12日:修改并装订论文。九、指导老师审阅意见 。。。。。。。。。。。。毕业论文任务书 汽检 专业 2007级1、毕业论文(设计)题目:论新型能源发展与环境保护关系分析 2、学生完成全部任务期限: 2010 年 04 月 12 日3、任务要求:(1)进程要求1提出选题的初步设想。2搜集、整理与毕业设计或论文有关的、充分的、准确的信息资料,扩充查阅范围。3分析、筛选已有的信息资料,提出研究设想与计划。4向指导教师提出开题报告(见附页)。5 构思论文框架,编写论文提纲,撰写论文初稿。6 提请指导老师审阅,并根据老师的指导意见做进一步修订,装订成册。(2)成果要求1 毕业设计应提交设计图纸和相应的说明书。图纸须规范、完整、清晰、正确,格式符合国家标准的要求;说明书须规范、详实,应包括:任务书、开题报告、正文(摘要、正文内容,结语,参考文献)、附录等。书写认真、清楚,字数不少于8000字。主要包括:前言、摘要、正文内容2 毕业论文应包括:任务书、开题报告、正文(前言、摘要、关键词,正文内容、结语、参考文献)、附录等;书写认真、清楚,字数以15000字左右为宜。4、实验(调研)部分内容要求:(1)实验内容与论文题目一致,数据真实。(2)调研内容详实,调研结论应具备普遍性。5、文献查阅及翻译要求:(1)参考文献应与论文内容相一致。(2)参考文献不少于8篇。(3)参考文献的格式参考抚顺职业技术学院毕业论文格式要求。(4)翻译文献应与原文内容一致。6、发出日期: 2009年 2月 27日 指 导 教 师: (签名)学 生: (签名) 论新型能源发展与环境保护关系分析 毕业院校: 系 别:机电系专 业:汽车检测与维修指导老师: 姓 名: 学 号: [摘 要]:机动车业的发展和普及,为人们的生活带来许多方便。但是,随着机动车数量的不断增加,排气污染对城市环境的影响越来越明显。对机动车污染现状的分析,探讨如何控制机动车排放物的措施和方法。将针对城市环境污染,新能源汽车,和新型能源汽车发展与环境保护关系展开讨论,提出相关新型能源汽车在环境保护方面的建议。 [关键词]:环境污染,汽车废气,新能源汽车 目 录前 言----------------------------------------------------------11.汽车不断增加造成城市空气污染------------------------------22.机动车尾气排放中的主要污染物的危害及产生机理-----------22.1一氧化碳(CO)- ----------------------------------------------22.2氮氧化物(NOx)---------------------------------------------- 32.3碳氢化合物 -------------------------------------------------32.4铅----------------------------------------------------------33.汽车污染对人体健康的危害-----------------------------------34.新型能源汽车种类与原理-------------------------------------44.1醇类燃料汽车------------------------------------------------44.2醚类燃料汽车------------------------------------------------44.3气动汽车----------------------------------------------------44.4以植物油为燃料的汽车----------------------------------------54.5太阳能汽车--------------------------------------------------54.6纯电动汽车--------------------------------------------------54.7池汽车------------------------------------------------------64.8混合动力汽车------------------------------------------------65.中国的能源生产能力有多少,能源制品到底有多少------------76.中国石油紧缺到什么程度,解决石油问题的出路何在---------87.能源节约的作用到底有多大------------------------------98.中国重视新型能源清洁汽车的研制------------------------99.中国新能源产业前景乐观------------------------------------10 10.新型能源轿车环保技术大盘点------------------------------10 10.1混合动力车------------------------------------------------1010.2CNG双燃料车-----------------------------------------------1010.3氢燃料电池车----------------------------------------------1011.新能源汽车目前发展现状------------------------------1012.新能源汽车离百姓多远--------------------------------11 总结------------------------------------------------------------12 参考文献--------------------------------------------------------13 前 言从1885年世界上第一辆内燃机汽车诞生以来,石油燃料汽车推动了人类一百多年来的汽车文明,为社会的进步作出了巨大贡献,这些都是客观存在的事实,不容否定。但汽车也与世间其他任何事物一样,具有两面性,这就是在为人类带来巨大利益的同时,也产生了不可忽视的负面影响。汽车污染主要是指汽车尾气的污染,其次是噪声污染一、汽车尾气 中国预防医学科学院最近对汽车尾气作了详尽分析,发现汽车尾气含有上千种化学物质,但主要成分是: 一氧化碳 它是燃油不充分燃烧的产物。汽车对环境的污染不可小视。 发展新型能源汽车保护环境的问题已迫在眉睫。1.汽车不断增加造成城市空气污染经过20年的改革开放,中国私人汽车数量迅速增加,汽车开始进入普通人的家庭生活。2001年后加入世界贸易组织(WTO),中国已经将汽车的进口关税从70-90%降低到44-51%,到2005年将进一步降低到25%。随着汽车价格的下降以及中国人较低的汽车拥有量,中国的汽车市场将会进一步繁荣。从而使汽车废气排放问题更加严重。中国有2000万辆汽车和1亿辆摩托车,而其中大多数都在城市。在城市环境污染物中,汽车所排放的氮氧化物占到了45至60%,而一氧化碳则占到了85%。因此,中国城市居民所吸入的劣质空气主要是由汽车所排放的废气造成的。我国现在的能源结构以煤炭为主,近年来煤炭消费量已占能源消费总量的75%以上。由于煤炭消费量的80%是原煤直接燃烧,由此造成的环境污染问题,已经影响到了国民经济的可持续发展。改善以燃煤为主的能源消费结构,是我国发展经济和保护环境的迫切要求。但是,中国以煤为主的能源消费结构是由能源资源条件决定的。在中国的能源资源中,煤炭占绝对的优势。若以常规能源资源总量为100,那么煤炭资源量在85以上,水能占12,石油和天然气仅占2-3。长期以来我国形成的能源生产格局就是以煤炭为主,未来煤炭工业仍将在整个能源过程中发挥不可替代的作用。为了完成《“十一五”计划和2010年远景目标纲要》提出的“改善能源生产和消费结构”的任务,我们应当着重在煤炭生产、加工和利用上作文章,其重点是提高原煤的入洗比例,减少原煤直接燃烧的数量,增加煤炭用于发电、制气等二次能源生产的数量,加快洁净煤技术的研究和应用。其核心是通过结构优化,提高能源利用的经济效益,最大限度地减轻环境污染,使经济与环境保持协调的可持续发展。2.机动车尾气排放中的主要污染物的危害及产生机理2.1一氧化碳(CO)CO是一种化学反应能力低的无色无味的窒息性有毒气体,对空气的相对密度为0.9670,它的溶解度很小。吸入过量的CO会使人发生气急、嘴唇发紫、呼吸困难甚至死亡。长期吸入CO对城市居民身体健康是一个潜在威胁。其生成主要受混合气浓度的影响,在局部缺氧或低温条件下,燃烧中的碳不能完全氧化生成C02,而CO作为中间产物生成。 2.2氮氧化物(NOx) NOx是在内燃机汽缸内大部分气体中生成的,NOx的排放量取决于燃烧温度、时间和空燃比等因素。氮氧化合物进人肺泡后,能形成亚硝酸和硝酸,对肺组织产生剧烈的刺激作用,增加肺毛细管的通透性,最后造成肺气肿。 2.3碳氢化合物 碳氢化合物尽管在汽车尾气中含量不多,但其构成成分中含有一种已被世界公认的强致癌物质。 2.4铅 汽车主要靠燃烧汽油(柴油)行驶.而汽油是一种易燃易爆的液体,为了防止爆炸,人们往往在汽油里添加一种抗爆剂——四乙基铅。汽车尾气中的铅很容易通过血液长期蓄积于人的肝、肾、脾、肺和大脑中,进而产生慢性危害,尤其是铅,一旦进入人的大脑组织,便紧紧粘附在脑细胞的关键部位,从而导致人的智能发育障碍和血红素制造障碍等后果。 3汽车污染对人体健康的危害 汽车污染主要是指汽车尾气的污染,其次是噪声污染一、汽车尾气 中国预防医学科学院最近对汽车尾气作了详尽分析,发现汽车尾气含有上千种化学物质,但主要成分是: 一氧化碳 它是燃油不充分燃烧的产物。车速越慢,排放量越多,大城市中90%的一氧化碳来自汽车尾气。它与人体血红蛋白的结合能力是氧气的250倍;对人的呼吸和循环系统危害严重。氮氧化物 其中主要是在高温燃烧条件下生成的二氧化氮。它对人和植物都有很强的毒性,能引起呼吸道感染和哮喘,使肺功能下降。它还会与碳氢化合物一起生成光化学烟雾,损伤人的眼睛。柴油机车辆排放的氨氮化物远比汽油机车辆严重,废气中的颗粒物比汽油机车高2-40倍。 苯并а芘 目前已从汽车尾气中分离出300多种环芳烃化合物,其中苯并а芘是公认的强致癌物质,在交通繁忙路口及其附近,苯并а芘污染特别严重。 铅 长期吸入含铅空气,可以引起慢性铅中毒,症状有头痛、头昏、全身无力、失眠、记忆力减退等。此外,还有甲醛、二氧化硫等多种有毒物质。 二、噪声 汽车发动机产生的噪声会对人的听力、生理功能等造成不良影响,使人烦躁不安,长期生活在噪声污染严重的环境中会影响学习、工作和健康。4新型能源汽车种类与原理 4.1醇类燃料汽车 醇类燃料汽车以甲醇、乙醇等醇类物质为燃料,有良好的汽化性和可燃性,是燃油很好的等效替代品。甲醇在与汽油均匀搀混以实现长期稳定使用时必须选用相应的添加剂,以抑制其所表现的极性与活性。而乙醇的制取技术相对更成熟,其最新的技术可利用几乎所有的农林废弃物、城市生活有机垃圾和工业有机废弃物作为原料,使用较广泛。它可以与汽油或柴油以任意比例掺和的灵活燃料驱动,既不需要改造发动机,又具有较高的热效率,能起到良好的节能、降污效果,使汽车尾气污染减少30%以上。当然这种掺和燃料如要获得与汽油或柴油相当的功率,则必须加大燃油喷射量,并相应改变发动机的压缩比和点火提前角。现在国际上用玉米、小麦、糖蜜作乙醇,再勾兑乙醇汽油的技术已经比较成熟。美国在20世纪70年代起用玉米造乙醇汽油,到2003年底已拥有230多万辆乙醇汽车。而巴西的汽车更是全部都用乙醇汽油作为清洁燃料。 4.2醚类燃料汽车 醚类燃料汽车主要指的是二甲醚汽车(DMEV),使用二甲醚(DME)作为燃料。DME是一种无色无味的气体,具有优良的燃烧性能,动力性能好,稍加压即为液体,非常适合作为压燃式发动机的代用能源。同时,DMEV清洁、污染少,不会排放黑色气体污染环境,产生的NOX比柴油少20%,可达到美国加州的超低排放标准。日本NKK公司早已成功开发出用劣质煤生产二甲醚的设备,并且和住友金属工业公司于1998年完成了用二甲醚作为汽车燃料的试验。 4.3气动汽车 气动汽车是以压缩空气、液态空气、液氮等为介质,通过吸热膨胀做功来供给驱动能量的汽车。它不发生燃烧或其他化学反应,排放的是无污染物辐射的空气或氮气,真正实现了零污染。目前开发比较成功的有压缩空气动力汽车(APV),能量来源于方便、清洁的高压空气,对发动机材料要求低,结构简单,研发周期短,社会基础设施建设费用也不高,设计和建造都比较容易。但缺点是能量密度和能量转换率还不够高,续驶里程仍然较短,其整车性能与传统汽车相差太远,只能在较小的范围内应用于特定场合。2000年MDI公司推出的APV质量仅700kg,速度达120km/h,一次充满压缩空气可行驶200km,充气费用仅为0.3美元。 我自己写的,绝对原稿。。。。

文章什么要求啊字数了?

汽车尾灯控制电路毕业论文

摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。关键词:电路 单行线制 系统 导线 各种车灯目录:(1)全车线路的连接原则(2)识读电路图的基本要求(3)以东风EQ1090型载货汽车线路为例全车线路的认读a.电源系统线b.起动系统线路c.点火系统线路d.仪表系统线路e.照明与信号系统线路(4)全车电路的导线(5)识读图注意事项论汽车电路的识读方法在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ieee.std_logic_1164.all;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use ieee.std_logic_1164.all使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月2009.12.27library ieee;use ieee.std_logic_1164.all;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ieee.std_logic_1164.all;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

文凭、学历、职称说了算,全盘引进。90年代低水平重复研究,相互封锁、互相敌对,从低端产品开始就保密、同类产品只要是不同厂家的,同功能的部件特意做成没有互换性,极大地消耗社会资源,损坏消费者的利益,人为制造麻烦,制造维修困难,一切障碍就是为了多赚钱、多钱。本人向国家、省、市科技馆,都提出提供创新展项,要对参观者完全公开全部设计、加工、装配、调整资料,公布原材料、器材、配件采购地点,允大学是干嘛的地方?无论多高的学历和职称,不会设计、制造教具,不会设计、制造教学仪器,不会维修仪器和设备;用你父母的钱进口教学仪器模仿了委托工厂仿制就是佼佼者;用你父母的钱请校外的人来维修设备、从校外采购配件;用你父母的钱请教学仪器生产企业提供教学实验讲义,将作者填上他们的名字就有教学突出成就奖;教你背诵的公式和外语,永远也比不上美国麻省理工学院在网上公开的教材内容。学生也不要埋怨学费贵,除了上面教师的原因,你们自己的基础实验、专业课就上的迷迷糊糊的,高额投资下的创新实验项目、挑战杯、科技竞赛、毕业论文、产学研、科技奖、商业开发,都见不得阳光,将真金白银变成了一堆堆的垃圾!!!!现在的大学生、研究生本身就没有信用!!!成天想着做“项目”,充其量就是下载别人的设计、翻阅外文资料、组织活动、制造气氛、做小生意等等,在校园内就是花钱、钱的!!!!!!!!!!!!!!允许参观者下载这些资料,大约是没有回扣给*****,所以就算本人愿意赠送,也无人理睬。sci对于大学生有何帮助?我们如何利用它?谢谢大家报告首长:应该是大写的英文字母SCI、EI。他们能帮助你们考研、赚钱、找工作、添加荣誉、为母校争光、使学校对你们增加投资。同时也败坏了学风、促使抄袭早就蔓延到绝大部分本科毕业论文、给社会和国家制造巨大潜在的社会危机、卖国无良教授专家社会精英绑架了政府、迫使政府社会个人注入巨额资金、抬高了学费和国家投入、大量采购教学科研仪器造成了设备空闲、完好率低、维修费用高、仪器设备淘汰极快、使用率低下、社会成本教学成本居高不下、教师取了高额的报酬、大量进口大型精密仪器试剂、无收益地消耗了大量的社会资源,并且形成了声势越来越浩大的恶性循环,其实质,就是敌对国家通过在中国的代理人—汉奸不法知识分子制造的类似星球大战对社会在冠冕堂皇光环下的腐蚀和破坏,是境外超级大国散布的理论病毒,危害国家安全!!!更高明的黑招数是国外敌对势力的代理人假惺惺地诱导我们将国力投入争取诺贝尔奖的空中楼阁,做那些荒诞不经的课题,还诱你就差那么一点点,再进口国外最先进的分析仪器设备、到发达国家那些顶级大学培训、入学,就能实现你们梦寐以求的最高境界。这是卖国贼制造的一场阴谋,妄想迷惑、破坏国家的乌托邦幻想;是挖掘黑洞和陷阱,是巨额消耗国力、通过各校重奖发表三大检索论文的知识分子来绑架zhengfu的蛊惑人心的宣传伎俩。现在科技论文可以代表国家的创新水平,即将获得诺贝尔奖。知识分子依靠国外的科技文献资料、进口设备和试剂编造的论文一经发表,可以提职称,有奖金到手,受益者都乐颠颠的,他们所吹嘘中国的各种论文已经神秘有加、诡谲不测、神乎其神、天花乱坠,是境外敌视中国的外部势力和他们伙同、豢养的中国国内投机分子、国内利益集团代言人、社会精英联手炮制的国家科技发展唯一方向,蛊惑人心,诱使中国政府走向破财的道路,都是圈套,诱惑中国大量购买先进仪器、出国留学和培训,挖空、亏空国库,败坏学分,加速腐败的黑招数。这就是毕业生找工作难、企业产品在国际上的竞争力差、只能做系统集成、在基础工业能力没有实质提高,依然落后于发达国家数十年、甚至连30年前的中国基础水平都不如、社会矛盾剧烈的根本原因。所以,对于中国有实质性意义的真招、实干,是用中国的资源,从基础制造出具有国际竞争力的产品,而且是优先国民消费。用巨额社会资源、进口设备、进口集成电路砸政绩为目的来引进人才,太危险了!!!要严格整肃!毫不留情!三大检索论文奖励不得超过千元,不能将国内生产的材料、器材转变成在国际上领先的商品,而依靠采购国外器件、试剂以系统集成方式拼凑的论文,是将真金白银变成垃圾,是帝国主义的圈套、理论病毒、极大地消耗国家资源,严重助长腐败,要挟政府,危害政权。因此,中国教育的出路不是泛泛的开放,现在已经太民主自由了,而是从问题俯拾皆是的基础做起,扎实才是根本之道。张鸣先生说:各种评审的指标体系,如核心期刊论文数量,国际SCI、EI论文数量,国家级课题数量,省部级课题数量,课题经费总量等等,实际上只是具有中国学术特色的自娱自乐。中国所谓的学术核心期刊,其学术品质,原本就是周知的,但是,在各个高校发疯追求论文数量的情况下,有某大学带头发明了硬性规定研究生发表核心期刊论文作为毕业前提条件的方法,人为拉高学校的论文发表数量,其他学校纷纷跟进,使这种本质上违法的行为,成为高校的新惯例。研究生做不出论文,就买,不仅买论文,而且买版面,各个学术期

我像是会在这些的呢...?

汽车尾灯控制系统毕业论文

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ieee.std_logic_1164.all;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use ieee.std_logic_1164.all使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月2009.12.27library ieee;use ieee.std_logic_1164.all;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ieee.std_logic_1164.all;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

你好啊,你的汽车尾灯控制电路开题报告选题定了没?开题报告选题老师同意了吗?准备往哪个方向写?开题报告学校具体格式准备好了没?准备写多少字还有什么不懂不明白的可以问我,希望可以帮到你,祝开题报告选题顺利通过,毕业论文写作过程顺利。开题报告的撰写方法一、开题报告的含义与作用开题报告,就是当课题方向确定之后,课题负责人在调查研究的基础上撰写的报请上级批准的选题计划。它主要说明这个课题应该进行研究,自己有条件进行研究以及准备如何开展研究等问题,也可以说是对课题的论证和设计。开题报告是提高选题质量和水平的重要环节。研究方案,就是课题确定之后,研究人员在正式开展研之前制订的整个课题研究的工作计划,它初步规定了课题研究各方面的具体内容和步骤。研究方案对整个研究工作的顺利开展起着关键的作用,尤其是对于我们科研经验较少的人来讲,一个好的方案,可以使我们避免无从下手,或者进行一段时间后不知道下一步干什么的情况,保证整个研究工作有条不紊地进行。可以说,研究方案水平的高低,是一个课题质量与水平的重要反映。二、写好研究方案应做的基础性工作写好研究方案一方面要了解它们的基本结构与写法,但“汝果欲学诗,功夫在诗外”,写好开题报告和研究方案重要还是要做好很多基础性工作。首先,我们要了解别人在这一领域研究的基本情况,研究工作最根本的特点就是要有创造性,熟悉了别人在这方面的研究情况,我们才不会在别人已经研究很多、很成熟的情况下,重复别人走过的路,而会站在别人研究的基础上,从事更高层次、更有价值的东西去研究;其次,我们要掌握与我们课题相关的基础理论知识,理论基础扎实,研究工作才能有一个坚实的基础,否则,没有理论基础,你就很难研究深入进去,很难有真正的创造。因此,我们进行科学研究,一定要多方面地收集资料,要加强理论学习,这样我们写报告和方案的时候,才能更有把握一些,制定出的报告和方案才能更科学、更完善。三、课题研究方案的结构与写法 课题研究方案主要包括以下几个方面:(一)课题名称课题名称就是课题的名字。这看起来是个小问题,但实际上很多人写课题名称时,往往写的不准确、不恰当,从而影响整个课题的形象与质量。这就是平常人们所说的“只会生孩子,不会起名字”。那么,如何给课题起名称呢?第一,名称要准确、规范。准确就是课题的名称要把课题研究的问题是什么,研究的对象是什么交待清楚,课题的名称一定要和研究的内容相一致,不能太大,也不能太小,要准确地把你研究的对象、问题概括出来。规范就是所用的词语、句型要规范、科学,似是而非的词不能用,口号式、结论式的句型不要用。因为我们是在进行科学研究,要用科学的、规范的语言去表述我们的思想和观点。课题就是我们要解决的问题,这个问题正在探讨,正开始研究,不能有结论性的口气。第二,名称要简洁,不能太长。 不管是论文或者课题,名称都不能太长,能不要的字就尽量不要,一般不要超过20个字。这次各个学校课题申报表中,我看名称都比较简洁,我就不再多说了。(二) 课题研究的目的、意义研究的目的、意义也就是为什么要研究、研究它有什么价值。这一般可以先从现实需要方面去论述,指出现实当中存在这个问题,需要去研究,去解决,本课题的研究有什么实际作用,然后,再写课题的理论和学术价值。这些都要写得具体一点,有针对性一点,不能漫无边际地空喊口号。不要都写成是坚持党教育方针、实施素质教育、提高教育教学质量等一般性的口号。主要内容包括:⑴ 研究的有关背景(课题的提出):即根据什么、受什么启发而搞这项研究。 ⑵ 通过分析本地(校) 的教育教学实际,指出为什么要研究该课题,研究的价值,要解决的问题。(三)本课题国内外研究的历史和现状(文献综述)。规范些应该有,如果是小课题可以省略。一般包括:掌握其研究的广度、深度、已取得的成果;寻找有待进一步研究的问题,从而确定本课题研究的平台(起点)、研究的特色或突破点。 参考总课题报告。(四)课题研究的指导思想指导思想就是在宏观上应坚持什么方向,符合什么要求等,这个方向或要求可以是哲学、政治理论,也可以是政府的教育发展规划,也可以是有关研究问题的指导性意见等。对于范围比较大,时间又很长的课题来讲,大家在总的方面,有了一个比较明确的指导思想,就可以避免出现理论研究中的一些方向性错误。这里,我给大家介绍一下何老师在《佛山市教育现代化进程》研究方案里写的课题指导思想里的一段话:“这一课题研究要依据党中央和国家要求,依据广东省委省政府的决定,依据佛山市委市政府的决定,结合国情、市情和佛山市教育改革与发展的实际,……力求揭示佛山市教育现代化进程的规律及表现形式,为佛山市教育现代化实践服务”。另外,还有一份供大家参考一下,广东省教育科研“九五”规划重点课题《学科教学与素质教育》研究和实验方案里面,课题指导思想这样写:“坚持以马克思主义、毛泽东思想和邓小平理论为指导,从我国经济领域实现“两个转变”和我省2010年基本实现现代化对基础教育的要求出发,针对在中小学学科教学中实施素质教育的有关理论和实践问题,开展全方位的改革实验和理论研究,有效指导广大中小学教师在学科教学中深入教学改革,全面贯彻教育方针,全面提高教育质量,从而推进我省基础教育事业向前发展,为把广东建成教育强省作出贡献 ”。(五) 课题研究的目标课题研究的目标也就是课题最后要达到的具体目的,要解决哪些具体问题,也就是本课题研究要达到的预定目标:即本课题研究的目标定位,确定目标时要紧扣课题,用词要准确、精练、明了。相对于目的和指导思想而言,研究目标是比较具体的,不能笼统地讲,必须清楚地写出来。只有目标明确而具体,才能知道工作的具体方向是什么,才知道研究的重点是什么,思路就不会被各种因素所干扰。常见存在问题是:不写研究目标;目标扣题不紧;目标用词不准确;目标定得过高, 对预定的目标没有进行研究或无法进行研究。确定课题研究目标时,一方面要考虑课题本身的要求,另一方面要考虑课题组实际的工作条件与工作水平。(六)课题研究的基本内容我们有了课题的研究目标,就要根据目标来确定我们这个课题具体要研究的内容,相对研究目标来说,研究内容要更具体、明确。并且一个目标可能要通过几方面的研究内容来实现,他们不一定是一一对应的关系。大家在确定研究内容的时候,往往考虑的不是很具体,写出来的研究内容特别笼统、模糊,把研究的目的、意义当作研究内容,这对我们整个课题研究十分不利。因此,我们要学会把课题进行分解,一点一点地去做。 基本内容一般包括:⑴对课题名称的界说。应尽可能明确三点:研究的对象、研究的问题、研究的方法。⑵本课题研究有关的理论、名词、术语、概念的界说。(七)课题研究的方法1、本课题研究是否要设定子课题。 各子课题既要有一定的相对独立性,又要形成课题系统。作为省、市级课题,最好设定子课题。形成全校的课题研究系统。2、具体的研究方法可从下面选定: 观察法、调查法、实验法、经验总结法、 个案法、比较研究法、文献资料法等。如要研究学生实践能力的现状必定离不开调查法; 要研究如何优化小学生个性宜采用实验法;要研究如何对青年教师进行培养可采用经验总结法;要研究问题家庭学生的教育对策可采用个案法等等。3、确定研究方法时要叙述清楚“做些什么” 和“怎样做” 。如要用调查法,则要讲清调查的目的、任务、对象、范围、调查方法、问卷的设计或来源等。最好能把调查方案附上。4、提倡使用综合的研究方法。 一个大的课题往往需要多种方法,小的课题可能主要是一种方法,但也要利用其它方法。我们在应用各种方法时,一定要严格按照方法的要求,不能不三不四,凭经验、常识去做。比如,我们要通过调查了解情况,我们如何制订调查表,如何进行分析,不是随随便便发张表,搞一些百分数、平均数就行了。突出介绍行动研究法。(八)课题研究的步骤课题研究的步骤,也就是课题研究在时间和顺序上的安排。研究的步骤要充分考虑研究内容的相互关系和难易程度,一般情况下,都是从基础问题开始,分阶段进行,每个阶段从什么时间开始,至什么时间结束都要有规定。课题研究的主要步骤和时间安排包括:整个研究拟分为哪几个阶段;各阶段的起止时间;各阶段要完成的研究目标、任务;各阶段的主要研究步骤;本学期研究工作的日程安排等。(九)课题研究的成果形式本课题研究拟取得什么形式的阶段研究成果和终结研究成果。形式有很多,如调查报告、实验报告、研究报告、论文、经验总结、调查量表、测试量表、微机软件、教学设计、录像带等,其中调查报告、研究报告、论文是课题研究成果最主要的表现形式。 课题不同,研究成果的内容、形式也不一样,但不管形式是什么,课题研究必须有成果,否则,就是这个课题就没有完成。(十)课题研究的组织机构和人员分工在方案中,要写出课题组长、副组长、课题组成员以及分工。课题组组长就是本课题的负责人。一个课题组应该包括三方面的人,一是有权之士,二是有识之士,三是有志之士。有权了课题就可以得到更多的支持,有识了课题质量、水平就会更高,有志了可以不怕辛苦,踏踏实实踏实实去干。课题组的分工必须是要分得明确合理,争取让每个人了解自己工作和责任,不能吃大锅饭。但是在分工的基础上,也要注意全体人员的合作,大家共同研究,共同商讨,克服研究过程中的各种困难和问题。(十一)其他有关问题或保障机制如课题组活动时间; 学习什么有关理论和知识,如何学习,要进行或参加哪些培训; 如何保证研究工作的正常进行; 课题经费的来源和筹集; 如何争取有关领导的支持和专家的指导; 如何与校外同行交流等。四、注意三点:1、要学会搜集和获取信息。处处留心皆学问(积累)。2、要多学习,多借鉴。集思广益开眼界(学习与借鉴)。3、创新。登高望远多创意(创新)。

相关百科

热门百科

首页
发表服务