首页

> 学术发表知识库

首页 学术发表知识库 问题

基于数字频率计设计毕业论文

发布时间:

基于数字频率计设计毕业论文

频率计设计基本原理可以参考这个blog,网址是:。后续还会有具体的设计实现方法,估计最近两天就会搞定

数字频率计是一种基本的测量仪器。它被广泛应用与航天、电子、测控等领域。它利用VHDL硬件描述语言进行设计,并在EDA(电子设计自动化)工具的帮助下,用大规模可编程器件(CPLD)实现数字频率计的设计原理及相关程序。通过了Max+plusⅡ软件进行仿真、硬件调 ... 你可以去这个网 址看看

1、 用FPGA实现控制基于I2C总线的EEPROM 2、 基于FPGA的简单OEM板GPS接收机设计 3、 基于FPGAD的数字频率计设计 4、 [电气工程]基于FPGA的电网基本电量数字测量系统的设计 5、 [电子信息工程]基于单片机和FPGA的位同步信号提取 6、 基于FPGA的数字通信系统 7、 基于FPGA和锁相环4046实现波形发生器 8、 UC/OSII在FPGA上的移植 9、 基于FPGA的IIR滤波器设计 10、 基于FPGA的TD-SCDMA信道编解码技术研究与实现(硕士) 11、 基于ARM和FPGA的数控系统的硬件设计(硕士) 12、 基于FPGA的JPEG压缩编码的研究与实现(硕士) 13、 OFDM通信系统基带数据处理部分的FPGA实现 14、 FPGA应用实验板设计 15、 UWB-OFDM解调器的仿真及FPGA在线仿真实现 16、 高速VITERBI译码器在ALTERA FPGA中的设计与实现 17、 基于FPGA温、湿度传感器系统设计 18、 基于FPGA的嵌入式系统开发板 19、 卫星信道延时模拟器的FPGA实现 20、 基于Altera FPGA的发动机ECU原型设计 21、 基于FPGA设计电梯控制系统 22、 FPGA在机卡分离式高清数字一体电视机里的应用 23、 PSK调制算法仿真与FPGA实现 24、 基于FPGA的数字复接系统帧同步器的设计

你问的也太多了吧。真是舍得用百度啊。1.频率计应该是能对输出的信号的频率进行调整。比如要求输出10kHZ的某种波。指标:频率,波形,占空比,最高电压,最低电压,振幅等。2.可以模块化,你自己参考资料去实现吧。3.依旧百度,百科里有。4.FPGA是基于硬件的编程,相对ASIC很灵活,能调整来实现你的功能。在视频处理,工业控制,DSP上都有很大的使用。他也作为ASIC的模型。

数字频率计毕业论文外文

这是大规模数字集成电路在系统可编程领域的经典课程设计。数字频率计是近代电子技术领域的重要测量工具之一,同时也是其他许多领域广泛应用的测量仪器。数字频率计是在规定的基准时间内把测量的脉冲数记录下来,换算成频率并以数字形式显示出来。数字频率计用于测量信号(方波,正弦波或其他周期信号)的频率,并用十进制数字显示,它具有精度高,测量速度快,读数直观,使用方便等优点。一个用VHDL语言实现的实例如下:-- Project Name: 恒精度频率计-- Target Devices: FPGA or CPLD-- Revision 0.01 - File Created-- Comments: clk--系统工作时钟,2MHz-------------reset--系统复位信号,高电平有效-------------Fx--为待测信号-------------FreqNx--为待测信号的计数值-------------FreqNs--为标准信号的计数值-------------Freq--为待测信号的频率------------------------------------------------------------------------------------library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;----------------------------------------------------------entity Cymometer is generic(clk_freq : integer := 2000000);--系统工作时钟频率 Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; Fx : in STD_LOGIC; ----待测信号 FreqNs : out natural; FreqNx : out natural); --Freq : out natural);end Cymometer;----------------------------------------------------------architecture Behavioral of Cymometer is---------------------------------------- signal start : STD_LOGIC;--此信号为高电平时计数器开始计数 signal CTRL : STD_LOGIC;--CTRL信号为待测信号和门控信号产生的计数器启动信号 signal CNTx : natural;--待测信号计数器 signal CNTs : natural;--标准信号计数器----------------------------------------begin--***************************************----产生一个门控信号,高电平有效 GateCtrl : process(clk) --------------------------- variable CNT0 : integer range 0 to 2_097_152;--门控信号计数器 --------------------------- begin if rising_edge(clk) then if reset='1' then CNT0 := 0; else CNT0 := CNT0 + 1; end if; --------- if reset='1' then start <= '0'; elsif CNT0 < (clk_freq*3/4) then start <= '1'; else start <= '0'; end if; end if; end process GateCtrl;--***************************************----产生CTRL信号,由待测信号和门控信号产生的计数器启动信号 CtrlGen : process(Fx) begin if rising_edge(Fx) then if reset='1' then CTRL <= '0'; else CTRL <= start; end if; end if; end process CtrlGen;--***************************************----用两个计数器分别对标准信号clk和待测信号signal计数------------------------------------计数标准信号,CTRL高电平期间有效 CountS : process(clk) begin if rising_edge(clk) then if reset='1' then CNTs <= 0; elsif CTRL='1' then CNTs <= CNTs + 1; else CNTs <= 0; end if; end if; end process CountS;------------------------------------计数待测信号,CTRL高电平期间有效 CountX : process(Fx) begin if rising_edge(Fx) then if reset='1' then CNTx <= 0; elsif CTRL='1' then CNTx <= CNTx + 1; else CNTx <= 0; end if; end if; end process CountX;--***************************************----CTRL下降沿将技术结果和测量值输出 CountOut : process(CTRL) begin if falling_edge(CTRL) then if reset='1' then FreqNs <= 0; FreqNx <= 0;-- Freq <= 0; else FreqNs <= CNTs; FreqNx <= CNTx;-- Freq <= (clk_freq / CNTs * CNTx); end if; end if; end process CountOut;end Behavioral;下面是为上面的模块编写的测试平台,在Modelsim下仿真通过,因为数据量较大,建议不要使用Altera及ISE仿真。--------------------------------------------------------------------------------LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.all;USE ieee.numeric_std.ALL; ENTITY tb ISEND tb; ARCHITECTURE behavior OF tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Cymometer PORT( clk : IN std_logic; reset : IN std_logic; Fx : IN std_logic; FreqNs : OUT natural; FreqNx : OUT natural; Freq : OUT natural ); END COMPONENT;--Inputs signal clk : std_logic := '0'; signal reset : std_logic := '1'; signal Fx : std_logic := '0'; --Outputs signal FreqNs : natural; signal FreqNx : natural;-- signal Freq : natural; -- Clock period definitions constant clk_period : time := 500ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Cymometer PORT MAP ( clk => clk, reset => reset, Fx => Fx, FreqNs => FreqNs, FreqNx => FreqNx, -- Freq => Freq ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; --产生待测信号 Fx_process : process begin Fx <= '0'; wait for 2*clk_period; Fx <= '1'; wait for 2*clk_period; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100ms. wait for clk_period*10; reset <= '0'; -- insert stimulus here wait; end process;END;参考原理M/T测频法。

这样类型的文章 我知道怎么写 老师有问题 包修改 包通过 ↓↓↓↓↓下面可以找到我

1、频率计嘛就是用来测试信号频率的啊!2、用FPGA来做就最简单不过了!3、我做了一个频率范围在0.5Hz__20MHz,一点都不夸张的,而且精度还很高,比你想象的要高的多!4、软件设计就只要QUARTUS II,很好做的也很容易!5、就连报告也都有的!

第1节 引言 21.1 数字频率计概述21.2 频率测量仪的设计思路与频率的计算21.3 基本设计原理3第2节 数字频率计(低频)的硬件结构设计4 2.1系统硬件的构成42.2系统工作原理图42.3AT89C51单片机及其引脚说明 52.4信号调理及放大整形模块72.5时基信号产生电路72.6显示模块8第3节 软件设计123.1 定时计数 123.2 量程转换 123.3 BCD转换123.4 LCD显示15第4节 结束语 16参考文献 20附录 汇编源程序代码28

基于单片机的频率计毕业论文

有必要上这儿来吗,去图书馆的数据库,这样类型的文章多得不得了啊

毕业论文题目的选定不是一下子就能够确定的,那通信类的毕业论文的题目要怎么选择呢?下文是我为大家整理的关于通信工程毕业论文选题的内容,欢迎大家阅读参考!

通信工程毕业论文选题

1. 智能压力传感器系统设计

2. 智能定时器

3. 液位控制系统设计

4. 液晶控制模块的制作

5. 嵌入式激光打标机运动控制卡软件系统设计

6. 嵌入式激光打标机运动控制卡硬件系统设计

7. 基于单片机控制的数字气压计的设计与实现

8. 基于MSC1211的温度智能温度传感器

9. 机器视觉系统

10. 防盗与恒温系统的设计与制作

12. AT89S52单片机实验系统的开发与应用

13. 在单片机系统中实现SCR(可控硅)过零控制

14. 微电阻测量系统

15. 基于单片机的电子式转速里程表的设计

16. 基于GSM短信模块的家庭防盗报警系统

17. 公交车汉字显示系统

18. 基于单片机的智能火灾报警系统

19. WIN32环境下对PC机通用串行口通信的研究及实现

20. FIR数字滤波器的MATLAB设计与实现方法研究

21. 无刷直流电机数字控制系统的研究与设计

22. 直线电机方式的地铁模拟地铁系统制作

23. 稳压电源的设计与制作

24. 线性直流稳压电源的设计

25. 基于CPLD的步进电机控制器

26. 全自动汽车模型的设计制作

27. 单片机数字电压表的设计

28. 数字电压表的设计

29. 计算机比值控制系统研究与设计

30. 模拟量转换成为数字量的红外传输系统

31. 液位控制系统研究与设计

32. 基于89C2051 IC卡读/写器的设计

33. 基于单片机的居室安全报警系统设计

34. 模拟量转换成为数字量红外数据发射与接收系统

35. 有源功率因数校正及有源滤波技术的研究

36. 全自动立体停车场模拟系统的制作

37. 基于I2C总线气体检测系统的设计

38. 模拟量处理为数字量红外语音传输接收系统的设计

39. 精密VF转换器与MCS-51单片机的接口技术

40. 电话远程监控系统的研究与制作

41. 基于UCC3802的开关电源设计

42. 串级控制系统设计

43. 分立式生活环境表的研究与制作(多功能电子万年历)

44. 高效智能汽车调节器

45. 变速恒频风力发电控制系统的设计

46. 全自动汽车模型的制作

47. 信号源的设计与制作

48. 智能红外遥控暖风机设计

49. 基于单片控制的交流调速设计

50. 基于单片机的多点无线温度监控系统

51. 蔬菜公司恒温库微机监控系统

52. 数字触发提升机控制系统

53. 农业大棚温湿度自动检测

54. 无人监守点滴自动监控系统的设计

55. 积分式数字电压表设计

56. 智能豆浆机的设计

57. 采用单片机技术的脉冲频率测量设计

58. 基于DSP的FIR滤波器设计

59. 基于单片机实现汽车报警电路的设计

60. 多功能数字钟设计与制作

61. 超声波倒车雷达系统硬件设计

62. 基于AT89C51单片机的步进电机控制系统

63. 模拟电梯的制作

64. 基于单片机程控精密直流稳压电源的设计

65. 转速、电流双闭环直流调速系统设计

66. 噪音检测报警系统的设计与研究

67. 转速闭环(V-M)直流调速系统设计

68. 基于单片机的多功能函数信号发生器设计

69. 基于单片机的超声波液位测量系统的设计

70. 仓储用多点温湿度测量系统

71. 基于单片机的频率计设计

72. 基于DIMM嵌入式模块在智能设备开发中的应用

73. 基于DS18B20的多点温度巡回检测系统的设计

74. 计数及数码显示电路的设计制作

75. 矿井提升机装置的设计

76. 中频电源的设计

77. 数字PWM直流调速系统的设计

78. 开关电源的设计

79. 基于ARM的嵌入式温度控制系统的设计

80. 锅炉控制系统的研究与设计

81. 智能机器人的研究与设计 --\u001F自动循轨和语音控制的实现

82. 基于CPLD的出租车计价器设计--软件设计

83. 声纳式高度计系统设计和研究

84. 集约型无绳多元心脉传感器研究与设计

85. CJ20-63交流接触器的工艺与工装

86. 六路抢答器设计

87. V-M双闭环不可逆直流调速系统设计

88. 机床润滑系统的设计

89. 塑壳式低压断路器设计

90. 直流接触器设计

91. SMT工艺流程及各流程分析介绍

92. 大棚温湿度自动控制系统

93. 基于单片机的短信收发系统设计 ――硬件设计

94. 三层电梯的单片机控制电路

95. 交通灯89C51控制电路设计

96. 基于D类放大器的可调开关电源的设计

97. 直流电动机的脉冲调速

98. 红外快速检测人体温度装置的设计与研制

99. 基于8051单片机的数字钟

100. 48V25A直流高频开关电源设计

基于视频的人流量监测系统设计与实现 图像水印识别微信小程序设计与实现 基于重力传感器的飞机大战游戏开发 手机平台加减乘除口算训练游戏开发 基于Android平台的个人移动地图软件开发 面向多种数据源的爬虫系统的设计与实现 基于Zabbix的服务器监控系统的设计与实现 基于新浪微博的分布式爬虫以及对数据的可视化处理 基于分布式的新闻热点网络爬虫系统与设计 舆情分析可视化系统的设计与实现 基于大数据的用户画像的新闻APP设计 基于Android平台的语言翻译程序设计与实现 基于SSH的水电信息管理系统的设计与实现 基于SSM的学科竞赛管理系统

1、 用FPGA实现控制基于I2C总线的EEPROM 2、 基于FPGA的简单OEM板GPS接收机设计 3、 基于FPGAD的数字频率计设计 4、 [电气工程]基于FPGA的电网基本电量数字测量系统的设计 5、 [电子信息工程]基于单片机和FPGA的位同步信号提取 6、 基于FPGA的数字通信系统 7、 基于FPGA和锁相环4046实现波形发生器 8、 UC/OSII在FPGA上的移植 9、 基于FPGA的IIR滤波器设计 10、 基于FPGA的TD-SCDMA信道编解码技术研究与实现(硕士) 11、 基于ARM和FPGA的数控系统的硬件设计(硕士) 12、 基于FPGA的JPEG压缩编码的研究与实现(硕士) 13、 OFDM通信系统基带数据处理部分的FPGA实现 14、 FPGA应用实验板设计 15、 UWB-OFDM解调器的仿真及FPGA在线仿真实现 16、 高速VITERBI译码器在ALTERA FPGA中的设计与实现 17、 基于FPGA温、湿度传感器系统设计 18、 基于FPGA的嵌入式系统开发板 19、 卫星信道延时模拟器的FPGA实现 20、 基于Altera FPGA的发动机ECU原型设计 21、 基于FPGA设计电梯控制系统 22、 FPGA在机卡分离式高清数字一体电视机里的应用 23、 PSK调制算法仿真与FPGA实现 24、 基于FPGA的数字复接系统帧同步器的设计

基于单片机数字钟设计毕业论文

做本科毕业论文还是很简单的。只要稍微努努力就可以做出来了。 当然楼主没有头绪可以借鉴一下前人的工作。 我手底偶然得到一篇不错的10000字的本科论文。 跟楼主的题目有80%的相似度。我想起码楼主可以借鉴它是如何进行论文格式设定,如何抓要点,如何布置布局的。 如果楼主感兴趣可以发邮件到,我可以发给你全文。 注意:这篇论文仅供你借鉴。我不能保证你的同学也可以通过其他手段也获得这篇文章。如果这样造成的“撞车”与我无关。 另,本人不是赚钱的写手,来百度赚积分纯属业余爱好,查了发了也无存稿,无关人员勿骚扰.谢谢。 基本信息: 《单片机LED通用模块及数字钟的设计》 摘要:近年来随着计算机在社会领域的渗透和大规模集成电路的发展,单片机的应用正在不断地走向深入,由于它具有功能强,体积小,功耗低,价格便宜,工作可靠,使用方便等特点,因此特别适合于与控制有关的系统,越来越广泛地应用于自动控制,智能化仪器,仪表,数据采集,军工产品以及家用电器等各个领域,单片机往往是作为一个核心部件来使用,在根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。 本次设计中以单片机的发展过程和发展方向为背景,介绍了单片机的输入输出的工作原理和操作方法,中断的工作原理和操作方法。4511的工作原理和操作方法,LED的内部结构。电路设计及调试过程。 本次做的数字钟是以单片机(AT89C51)为核心,结合相关的元器件(共阴极LED数码显示器、BCD-锁存/7段译码/驱动器CC4511等),再配以相应的软件,达到制作简易数字钟的目的,其硬件部分难点在于元器件的选择、布局及焊接。

摘 要本次的硬件综合设计是对我们所学知识的综合运用,独立完成具有一定实用价值的小型系统——数字时钟。数字时钟是一种用数字技术实现是、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,具有更长的使用寿命,能被更好的广泛运用。数字时钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。数字时钟系统的主要功能:(1)通过液晶显示器显示时分秒,具有时分校准、整点报时和加点自检功能;(2)整点报时通过光和声音两种情况报警;(3)时钟信号有主用时钟电路提供;(4)时钟校准由键盘完成;(5)系统在丢电的情况下不影响时钟的运行。系统运用到的硬件资源:单片机核心系统(AT89S52)、实时时钟(DS1307)、TD0273D01七段LCD(HT1621B驱动)、NTC测量电路(NE555)、USB通信和供电电路( CH372)、LED指示灯、键盘、蜂鸣器等。首先,我们通过基本的焊接技能训练,掌握LCD Exam实验板的硬件原理,进行PCB线路板的设计,将运用到的硬件进行组装和焊接,通过硬件调试。接着,根据所设计数字时钟的功能要求进行软件的总体结构设计、软件的具体实现并仿真调试。最后,进行程序固化、系统的调试和维护,最终完成整个系统的设计,提交课程设计报告。 此系统的设计是我们了解采用控制产品开发的全部过程,掌握专用计算机系统的软硬件设计过程、方法及实现,为以后设计和工作打下良好基础。关键词:数字时钟 DS1307 单片机 目 录 摘要一、总体结构二、硬件设计原理1、时钟模块2.核心模块3.显示及驱动模块4.其他电路(1)蜂鸣器(2)POWER LED指示灯(3)键盘(4键)(4)电阻(5)电容三、软件总体结构四、软件具体实现1.系统初始化2.报警部分3.显示程序4.CPU读流程5.HT1621的一个字节的写过程6.DS1307的一个字节写的过程7.DS1307的一个字节读的过程五、调试和故障排除1.焊接测试2.程序调试六、结束语七、参考文献八、附录

基于单片机数字的设计毕业论文

热电致冷器件特别适合于小热量和受空间限制的温控领域。改变加在器件上的直流电的极性即可变致冷为加热,而吸热或放热率则正比于所加直流电流的大小。Pe1tier 温控器的设定温度可以在一个较宽的范围内任意选择,可选择低于或高于环境温度。在本系统中我们选用了天津蓝天高科电源有限公司生产的半导体致冷器件 TES1-12739,其最大温差电压 14.7V,最大温差电流3.9A最大致冷功率33.7W。1.5 其它部分系统采用Samsung(三星)公司生产的真空荧光数码显示屏 VFD用来实时显示当前温度,以观察控制效果。键盘和串行通信接口用来设定控制温度和调整PID参数。系统电路原理图如图3所示。2 系统软件设计系统开始工作时,首先由单片机控制软件发出温度读取指令,通过数字温度传感器 DS18B20 采样被控对象的当前温度值T1并送显示屏实时显示。然后,将该温度测量值与设定值T比较,其差值送 PID控制器。PID 控制器处理后输出一定数值的控制量,经DA 转换为模拟电压量,该电压信号再经大电流驱动电路,提高电流驱动能力后加载到半导体致冷器件上,对温控对象进行加热或制冷。加热或制冷取决于致冷器上所加电压的正负,若温控对象当前温度测量值与设定值差值为正,则输出负电压信号,致冷器上加载负电压温控对象温度降低;反之,致冷器上加载正向电压,温控对象温度升高。上述过程:温度采样-计算温差-PID调节-信号放大输出周而复始,最后将温控对象的温度控制在设定值附近上下波动,随着循环次数的增加,波动幅度会逐渐减小到某一很小的量,直至达到控制要求。为了加快控制,在进入PID控制前加入了一段温差判断程序。当温度差值大于设定阈值Δt时,系统进行全功率加热或制冷,直到温差小于Δt才进入PID控制环节。图4为系统工作主程序的软件流程图.3 结论本文设计的基于单片机数字PID控制的精密温度控制系统,在实际应用中取得了良好的控制效果,温度控制精度达到±0.1℃。经48小时连续运行考验,系统工作稳定,有效地降低了辐亮度标准探测器的温度系数,使辐亮度标准探测器在温度变化较大的环境中也能保持其高精度,为实现基于探测器的高精度辐射定标的广泛应用奠定了基础。本文作者创新点:在原来基于PC的PID温控系统的基础上,设计了由单片机、数字式温传感器DS18B20和半导体致冷器组成的精密温度控制系统。该温控系统的应用为高精度光辐射测量仪器-辐亮度标准探测器的小型化、智能化提供了有利条件。

你看下这些对你是否有些帮助,1.基于labVIEW虚拟滤波器的设计与实现 2.双闭环直流调速系统设计 3.单片机脉搏测量仪 4.单片机控制的全自动洗衣机毕业设计论文 5.FPGA电梯控制的设计与实现 6.恒温箱单片机控制 7.基于单片机的数字电压表 8.单片机控制步进电机毕业设计论文 9.函数信号发生器设计论文 10.110KV变电所一次系统设计 11.报警门铃设计论文 12.51单片机交通灯控制 13.单片机温度控制系统 14.CDMA通信系统中的接入信道部分进行仿真与分析 15.仓库温湿度的监测系统 16.基于单片机的电子密码锁 17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现 19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信 21.DSP设计的IIR数字高通滤波器 22.单片机数字钟设计 23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文 25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计 27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统 毕业论文 29.宽带视频放大电路的设计 毕业设计 30.简易数字存储示波器设计毕业论文 31.球赛计时计分器 毕业设计论文 32.IIR数字滤波器的设计毕业论文 33.PC机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文 35.110kV变电站电气主接线设计 36.m序列在扩频通信中的应用 37.正弦信号发生器 38.红外报警器设计与实现 39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文 41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机 毕业设计论文 43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计 45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文 47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文 49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文 51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文 53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文 55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文 57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论 59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文 61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文 63.楼宇自动化--毕业设计论文 64.车辆牌照图像识别算法的实现--毕业设计 65.超声波测距仪--毕业设计 66.工厂变电所一次侧电气设计 67.电子测频仪--毕业设计 68.点阵电子显示屏--毕业设计 69.电子电路的电子仿真实验研究 70.基于51单片机的多路温度采集控制系统 71.基于单片机的数字钟设计 72.小功率不间断电源(UPS)中变换器的原理与设计 73.自动存包柜的设计 74.空调器微电脑控制系统 75.全自动洗衣机控制器 76.电力线载波调制解调器毕业设计论文 77.图书馆照明控制系统设计 78.基于AC3的虚拟环绕声实现 79.电视伴音红外转发器的设计 80.多传感器障碍物检测系统的软件设计 81.基于单片机的电器遥控器设计 82.基于单片机的数码录音与播放系统 83.单片机控制的霓虹灯控制器 84.电阻炉温度控制系统 85.智能温度巡检仪的研制 86.保险箱遥控密码锁 毕业设计 87.10KV变电所的电气部分及继电保护 88.年产26000吨乙醇精馏装置设计 89.卷扬机自动控制限位控制系统 90.铁矿综合自动化调度系统 91.磁敏传感器水位控制系统 92.继电器控制两段传输带机电系统 93.广告灯自动控制系统 94.基于CFA的二阶滤波器设计 95.霍尔传感器水位控制系统 96.全自动车载饮水机 97.浮球液位传感器水位控制系统 98.干簧继电器水位控制系统 99.电接点压力表水位控制系统 100.低成本智能住宅监控系统的设计 101.大型发电厂的继电保护配置 102.直流操作电源监控系统的研究 103.悬挂运动控制系统 104.气体泄漏超声检测系统的设计 105.电压无功补偿综合控制装置 106.FC-TCR型无功补偿装置控制器的设计 107.DSP电机调速 108.150MHz频段窄带调频无线接收机 109.电子体温计 110.基于单片机的病床呼叫控制系统 111.红外测温仪 112.基于单片微型计算机的测距仪正文 113.智能数字频率计 114.基于单片微型计算机的多路室内火灾报警器 115.信号发生器 116.基于单片微型计算机的语音播出的作息时间控制器 117.交通信号灯控制电路的设计 118.基于单片机步进电机控制系统设计 119.多路数据采集系统的设计 120.电子万年历 121.遥控式数控电源设计 122.110kV降压变电所一次系统设计 123.220kv变电站一次系统设计 124.智能数字频率计 125.信号发生器 126.基于虚拟仪器的电网主要电气参数测试设计 127.基于FPGA的电网基本电量数字测量系统的设计 128.风力发电电能变换装置的研究与设计 129.电流继电器设计 130.大功率电器智能识别与用电安全控制器的设计 131.交流电机型式试验及计算机软件的研究 132.单片机交通灯控制系统的设计 133.智能立体仓库系统的设计 134.智能火灾报警监测系统 135.基于单片机的多点温度检测系统 136.单片机定时闹钟设计 137.湿度传感器单片机检测电路制作 138.智能小车自动寻址设计--小车悬挂运动控制系统 139.探讨未来通信技术的发展趋势 140.音频多重混响设计 141.单片机呼叫系统的设计 142.基于FPGA和锁相环4046实现波形发生器 143.基于FPGA的数字通信系统 144.基于单片机的带智能自动化的红外遥控小车 145.基于单片机AT89C51的语音温度计的设计 146.智能楼宇设计 147.移动电话接收机功能电路 148.单片机演奏音乐歌曲装置的设计 149.单片机电铃系统设计 150.智能电子密码锁设计 151.八路智能抢答器设计 152.组态控制抢答器系统设计 153.组态控制皮带运输机系统设计 154..基于单片机控制音乐门铃 155.基于单片机控制文字的显示 156.基于单片机控制发生的数字音乐盒 157.基于单片机控制动态扫描文字显示系统的设计 158.基于LMS自适应滤波器的MATLAB实现 159.D功率放大器毕业论文 160.无线射频识别系统发射接收硬件电路的设计 161.基于单片机PIC16F877的环境监测系统的设计 162.基于ADE7758的电能监测系统的设计 163.智能电话报警器 164.数字频率计 课程设计 165.多功能数字钟电路设计 课程设计 166.基于VHDL数字频率计的设计与仿真 167.基于单片机控制的电子秤 168.基于单片机的智能电子负载系统设计 169.电压比较器的模拟与仿真 170.脉冲变压器设计 171.MATLAB仿真技术及应用 172.基于单片机的水温控制系统 173.基于FPGA和单片机的多功能等精度频率计 174.发电机-变压器组中微型机保护系统 175.基于单片机的鸡雏恒温孵化器的设计 176.数字温度计的设计 177.生产流水线产品产量统计显示系统 178.水位报警显时控制系统的设计 179.红外遥控电子密码锁的设计 180.基于MCU温控智能风扇控制系统的设计 181.数字电容测量仪的设计 182.基于单片机的遥控器的设计 183.200电话卡代拨器的设计 184.数字式心电信号发生器硬件设计及波形输出实现 185.电压稳定毕业设计论文 186.基于DSP的短波通信系统设计(IIR设计) 187.一氧化碳报警器 188.网络视频监控系统的设计 189.全氢罩式退火炉温度控制系统 190.通用串行总线数据采集卡的设计 191.单片机控制单闭环直流电动机的调速控制系统 192.单片机电加热炉温度控制系统 193.单片机大型建筑火灾监控系统 194.USB接口设备驱动程序的框架设计 195.基于Matlab的多频率FMICW的信号分离及时延信息提取 196.正弦信号发生器 197.小功率UPS系统设计 198.全数字控制SPWM单相变频器 199.点阵式汉字电子显示屏的设计与制作 200.基于AT89C51的路灯控制系统设计 201.基于AT89C51的宽范围高精度的电机转速测量系统 202.开关电源设计 203.基于PDIUSBD12和K9F2808简易USB闪存设计 204.微型机控制一体化监控系统 205.直流电机试验自动采集与控制系统的设计 206.新型自动装弹机控制系统的研究与开发 207.交流异步电机试验自动采集与控制系统的设计 208.转速闭环控制的直流调速系统的仿真与设计 209.基于单片机的数字直流调速系统设计 210.多功能频率计的设计 211.18信息移频信号的频谱分析和识别 212.集散管理系统—终端设计 213.基于MATLAB的数字滤波器优化设计 214.基于AT89C51SND1C的MP3播放器 215.基于光纤的汽车CAN总线研究 216.汽车倒车雷达 217.基于DSP的电机控制 218.红外恒温控制器的设计与制作 219.串联稳压电源的设计 220.智能编码电控锁设计 221.多用定时器的电路设计与制作 222.基于单片机的数字电压表设计 223.智能饮水机控制系统 224.自行车 车速 报警系统 225.大棚仓库温湿度自动控制系统 226.浮点数运算FPGA实现 227.自行车里程,速度计的设计 228.等精度频率计的设计 229.人体健康监测系统设计 230.基于单片机的音乐喷泉控制系统设计 231.基于嵌入式系统的原油含水分析仪的硬件与人机界面设 232.基于LabVIEW环境下虚拟调幅波解调器的设计 233.虚拟示波器的设计 234.红外线遥控器系统设计 235.基于LabVIEW的虚拟频谱分析仪的研究与设计 236.低频功率放大器设计 237.银行自动报警系统 238.超媒体技术 239.数字电子钟的设计与制作 240.温度报警器的电路设计与制作 241.数字电子钟的电路设计 242.鸡舍电子智能补光器的设计 243.高精度超声波传感器信号调理电路的设计 245.电子密码锁的电路设计与制作 246.单片机控制电梯系统的设计 247.常用电器维修方法综述 248.控制式智能计热表的设计 249.电子指南针设计 250.汽车防撞主控系统设计 251.单片机的智能电源管理系统 252.电力电子技术在绿色照明电路中的应用 253.电气火灾自动保护型断路器的设计 254.基于单片机的多功能智能小车设计 255.对漏电保护器安全性能的剖析 256.解析民用建筑的应急照明 257.电力拖动控制系统设计 258.110kV区域降压变电所电气系统的设计 259.ATMEIL AT89系列通用单片机编程器的设计 260.基于单片机的金属探测器设计 261.双闭环三相异步电动机串级调速系统 262.基于单片机技术的自动停车器的设计 263.自动剪板机单片机控制系统设计 264.单片机电器遥控器的设计 265.试论供电系统中的导体和电器的选择 266.浅论10KV供电系统的继电保护的设计方案 267.论无线通信技术热点及发展趋势 268.论工厂的电气照明 269.论供电系统中短路电流及其计算 270.电气设备的选择与校验 271.电气控制线路的设计原则 272.蓄电池性能测试仪设计 273.红外恒温控制器的设计与制作 274.串联稳压电源的设计 275.智能编码电控锁设计 276.多用定时器的电路设计与制作 277.基于单片机的数字电压表设计 278.智能饮水机控制系统 279.自行车 车速 报警系统 280.大棚仓库温湿度自动控制系统 281.浮点数运算FPGA实现 282.自行车里程,速度计的设计 283.等精度频率计的设计 284.声纳式高度计系统设计和研究 285.集约型无绳多元心脉传感器研究与设计 286.电气电子信息工程,通信工程,课程设计 287.CJ20-63交流接触器的工艺与工装 288.六路抢答器设计 289.V-M双闭环不可逆直流调速系统设计 290.机床润滑系统的设计 291.塑壳式低压断路器设计 292.直流接触器设计 293.SMT工艺流程及各流程分析介绍 294.大棚温湿自动控制系统 295.基于单片机的短信收发系统设计 ――硬件设计 296.三层电梯的单片机控制电路 297.交通灯89C51控制电路设计 298.基于D类放大器的可调开关电源的设计 299.直流电动机的脉冲调速 300.红外快速检测人体温度装置的设计与研制 301.基于8051单片机的数字钟 302.48V25A直流高频开关电源设计 303.继电器保护毕业设计 304.电力系统电压频率紧急控制装置研究 305.用单片机控制的多功能门铃 306.全氢煤气罩式炉的温度控制系统的研究与改造 307.基于ATmega16单片机的高炉透气性监测仪表的设计 308.基于MSP430的智能网络热量表 309.火电厂石灰石湿法烟气脱硫的控制 310.家用豆浆机全自动控制装置 311.新型起倒靶控制系统的设计与实现 312.软开关技术在变频器中的应用 313.中频感应加热电源的设计 314.智能小区无线防盗系统的设计 315.智能脉搏记录仪系统 316.直流开关稳压电源设计 317.用单片机实现电话远程控制家用电器 318.无线话筒制作 319.温度检测与控制系统 320.数字钟的设计 321.汽车尾灯电路设计 322.篮球比赛计时器的硬件设计 323.节能型电冰箱研究 324.交流异步电动机变频调速设计 325.基于单片机控制的PWM调速系统 326.基于单片机的数字温度计的电路设计 327.基于Atmel89系列芯片串行编程器设计 328.基于单片机的实时时钟 329.基于MCS-51通用开发平台设计 330.基于MP3格式的单片机音乐播放系统 331.基于单片机的IC卡智能水表控制系统设计 332.基于MATLAB的FIR数字滤波器设计 333.单片机水温控制系统 334.基于PIC16F74单片机串行通信中继控制器 335.火灾自动报警系统336.基于单片机的电子时钟控制系统337.基于单片机mega16L的煤气报警器的设计338.微机型高压电网继电保护系统的设计 339.智能毫伏表的设计 340.基于单片机的波形发生器设计341.国产化PLC的研制 342.串行显示的步进电机单片机控制系统 343.编码发射与接收报警系统设计:看护机 345.编码发射接收报警设计:爱情鸟346.基于IC卡的楼宇门禁系统的设计 347.基于DirectShow的视频监控系统 348.智能机器人的研究与设计 ——自动循轨和语音控制的349.基于CPLD的出租车计价器设计——软件设计 350.B2C电子商务在线信任模型实证研究

学参数测量技术涉及范围广,特别是微电压、微电流、高电压以及待测信号强弱相差极大的情况下,既要保证弱信号的测量精度又要兼顾强信号的测量范围,在技术上有一定的难度。传统的低成本仪表在测量电压、电阻时都采用手动选择档位的方法来转换量程。在使用中,当忘记转换档位时,会造成仪表测量精度下降或损坏。 现代电子测量对系统的精度要求越来越高且智能化程度也越来越高。全量程无档自动量程转换电压表和电阻表是在保证测量精度不下降的前提条件下省去手动转换量程的工作,得到了广泛应用。 本文介绍了一种基于AT89S52单片机的智能多用表。该表能在单片机的控制下完成直流电压、电阻和直流电流的测量。测量电流部分采用了简单的I/V转换电路完成测试;测量电压部分结合模拟开关CD4051和运算放大器OP07构成程控放大器,实现了自动量程转换;测量电阻部分也由模拟开关CD4051和运算放大器OP07相结合,在单片机控制下完成了自动量程转换。电流、电压和电阻的最终测量信号都在单片机的控制下由12位A/D转换器TLC2543进行采集,采集的信号经单片机数据处理后通过LCD(12864)显示出来,测量结果还可以由带有串行EEPROM的CPU存储器和监控器的X25045进行多个数据保存。 关键词:TLC2543 自动量程转换 程控增益放大器 电压 电阻 电流 目录 摘要1 Abstract 2 第一章 绪论 5 1. 1 概述 5 1. 2 智能仪器/仪表国内外发展概况 5 1. 3 课题研究目的及意义 6 第二章 系统结构及功能介绍 8 2. 1 系统功能和性能指标 8 2. 1. 1 仪表功能 8 2. 1. 2 性能指标 8 2. 1. 3 本机特色 8 2. 1. 4 系统使用说明 9 2. 2 系统工作原理概述 9 第三章 方案设计与论证 11 3. 1 量程选择的设计与论证 11

学了4年,毕业就做个这个!还跪求!!!!悲哀

相关百科

热门百科

首页
发表服务