首页

> 期刊投稿知识库

首页 期刊投稿知识库 问题

汽车尾灯控制电路设计论文答辩

发布时间:

汽车尾灯控制电路设计论文答辩

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ieee.std_logic_1164.all;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use ieee.std_logic_1164.all使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月2009.12.27library ieee;use ieee.std_logic_1164.all;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ieee.std_logic_1164.all;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

一 汽车尾灯控制电路设计内容及要求(一) 设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时只是灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1—R1R2—R1R2R3—全灭—R1)时间间隔0.5S(采用一个2Hz的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1—L1L2—L1L2L3—全灭—L1);在临时刹车或者检查尾灯是否正常时,所有指示灯同时点亮(R1R2R3L1L2L3点亮);当汽车后退的时候所有尾灯循环点亮,当晚上行车的时候汽车尾灯最下一个灯一直点亮。 (二) 设计要求1 汽车尾灯的控制电路的设计要求 汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 (1)当接通右转电键时,右侧的3个汽车尾灯(用发光二极管模拟)按照右循环的顺序依次点亮。 (2)当接通左转电键时,左侧的3个汽车尾灯按照左循环的顺序依次点亮。 (3)当接通刹车电键时,汽车所有的尾灯同时闪烁。 (4)当接通检查电键时,汽车所有的尾灯点亮。 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高点评时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示,汽车尾灯控制电路设计总体框图如图1所示。表1 汽车尾灯和汽车运行状态表图1 汽车尾灯控制电路设计总体框图二 电路的工作原理 经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分: 首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。 3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。三 系统方案的选择 在设计本电路时,一共考虑过二种方案。这二种方案的不同点在于产生001、010、100三种信号的方法不同。下面简单的介绍一下这二种方案: (一)方案一:该方案通过74LS160计数器构成能产生01、10、11三种状态循环的信号,然后再通过逻辑电路将其转换成所需的001、010、100三种左转或右转的信号。 设:74LS160输出的两位信号从高位到低位分别是B A,输出信号为Z Y X。 则 经过 的逻辑运算便可实现所需的功能。 电路图如下图2 尾灯在闪烁时会出现不自然 但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。 (二)方案二:该方案是由555脉冲电路产生频率为1Hz的脉冲信号来驱动74LS160S组成的计数器电路,由开关电路来控制74LS138组成的译码电路,由计数电路和译码电路一起控制显示驱动电路,从而控制尾灯的亮灭,555定时器产生的信号可以使尾灯快速闪亮,通过D触发器产生001、010、100的三种状态信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。 方案二是本次设计中使用的方案,该方案的详细设计将在下面详细叙述。

论文常被用来进行科学研究和描述科研成果的文章。它既是探讨问题进行科学研究的一种手段,又是描述科研成果进行学术交流的一种工具。论文格式封面论文常指用来进行科学研究和描述科研成果的文章。它既是探讨问题进行科学研究的一种手段,又是描述科研成果进行学术交流的一种工具。它包括学年论文、毕业论文、学位论文、科技论文、成果论文等,总称为论文[1]。论文格式就是指进行论文写作时的样式要求,以及写作标准。直观的说,论文格式就是论文达到可公之于众的标准样式和内容要求。结构论文一般由题名、作者、摘要、关键词、正文、参考文献和附录等部分组成,其中部分组成(例如附录)可有可无。论文各组成的排序为:题名、作者、摘要、关键词、英文题名、英文摘要、英文关键词、正文、参考文献、附录和致谢[2]。题目1.题名规范题名应简明、具体、确切,能概括论文的特定内容,有助于选定关键词,符合编制题录、索引和检索的有关原则。2.命题方式简明扼要,提纲挈领。3.英文题名方法①英文题名以短语为主要形式,尤以名词短语最常见,即题名基本上由一个或几个名词加上其前置和(或)后置定语构成;短语型题名要确定好中心词,再进行前后修饰。各个词的顺序很重要,词序不当,会导致表达不准。②一般不要用陈述句,因为题名主要起标示作用,而陈述句容易使题名具有判断式的语义,且不够精炼和醒目。少数情况(评述性、综述性和驳斥性)下可以用疑问句做题名,因为疑问句有探讨性语气,易引起读者兴趣。③同一篇论文的英文题名与中文题名内容上应一致,但不等于说词语要一一对应。在许多情况下,个别非实质性的词可以省略或变动。④国外科技期刊一般对题名字数有所限制,有的规定题名不超过2行,每行不超过42个印刷符号和空格;有的要求题名不超过14个词。这些规定可供我们参考。⑤在论文的英文题名中。凡可用可不用的冠词均不用。摘要摘要是文章主要内容的摘录,要求短、精、完整。字数少可几十字,多不超过三百字为宜[3]。摘要的规范摘要是对论文的内容不加注释和评论的简短陈述,要求扼要地说明研究工作的目的、研究方法和最终结论等,重点是结论,是一篇具有独立性和完整性的短文,可以引用、推广。关键词关键词是从论文的题名、提要和正文中选取出来的,是对表述论文的中心内容有实质意义的词汇。关键词是用作计算机系统标引论文内容特征的词语,便于信息系统汇集,以供读者检索。每篇论文一般选取3-8个词汇作为关键词,另起一行,排在“提要”的左下方。主题词是经过规范化的词,在确定主题词时,要对论文进行主题分析,依照标引和组配规则转换成主题词表中的规范词语。(参见《汉语主题词表》和《世界汉语主题词表》)。

汽车尾灯控制设计毕业论文

你好啊,你的汽车尾灯控制电路开题报告选题定了没?开题报告选题老师同意了吗?准备往哪个方向写?开题报告学校具体格式准备好了没?准备写多少字还有什么不懂不明白的可以问我,希望可以帮到你,祝开题报告选题顺利通过,毕业论文写作过程顺利。开题报告的撰写方法一、开题报告的含义与作用开题报告,就是当课题方向确定之后,课题负责人在调查研究的基础上撰写的报请上级批准的选题计划。它主要说明这个课题应该进行研究,自己有条件进行研究以及准备如何开展研究等问题,也可以说是对课题的论证和设计。开题报告是提高选题质量和水平的重要环节。研究方案,就是课题确定之后,研究人员在正式开展研之前制订的整个课题研究的工作计划,它初步规定了课题研究各方面的具体内容和步骤。研究方案对整个研究工作的顺利开展起着关键的作用,尤其是对于我们科研经验较少的人来讲,一个好的方案,可以使我们避免无从下手,或者进行一段时间后不知道下一步干什么的情况,保证整个研究工作有条不紊地进行。可以说,研究方案水平的高低,是一个课题质量与水平的重要反映。二、写好研究方案应做的基础性工作写好研究方案一方面要了解它们的基本结构与写法,但“汝果欲学诗,功夫在诗外”,写好开题报告和研究方案重要还是要做好很多基础性工作。首先,我们要了解别人在这一领域研究的基本情况,研究工作最根本的特点就是要有创造性,熟悉了别人在这方面的研究情况,我们才不会在别人已经研究很多、很成熟的情况下,重复别人走过的路,而会站在别人研究的基础上,从事更高层次、更有价值的东西去研究;其次,我们要掌握与我们课题相关的基础理论知识,理论基础扎实,研究工作才能有一个坚实的基础,否则,没有理论基础,你就很难研究深入进去,很难有真正的创造。因此,我们进行科学研究,一定要多方面地收集资料,要加强理论学习,这样我们写报告和方案的时候,才能更有把握一些,制定出的报告和方案才能更科学、更完善。三、课题研究方案的结构与写法 课题研究方案主要包括以下几个方面:(一)课题名称课题名称就是课题的名字。这看起来是个小问题,但实际上很多人写课题名称时,往往写的不准确、不恰当,从而影响整个课题的形象与质量。这就是平常人们所说的“只会生孩子,不会起名字”。那么,如何给课题起名称呢?第一,名称要准确、规范。准确就是课题的名称要把课题研究的问题是什么,研究的对象是什么交待清楚,课题的名称一定要和研究的内容相一致,不能太大,也不能太小,要准确地把你研究的对象、问题概括出来。规范就是所用的词语、句型要规范、科学,似是而非的词不能用,口号式、结论式的句型不要用。因为我们是在进行科学研究,要用科学的、规范的语言去表述我们的思想和观点。课题就是我们要解决的问题,这个问题正在探讨,正开始研究,不能有结论性的口气。第二,名称要简洁,不能太长。 不管是论文或者课题,名称都不能太长,能不要的字就尽量不要,一般不要超过20个字。这次各个学校课题申报表中,我看名称都比较简洁,我就不再多说了。(二) 课题研究的目的、意义研究的目的、意义也就是为什么要研究、研究它有什么价值。这一般可以先从现实需要方面去论述,指出现实当中存在这个问题,需要去研究,去解决,本课题的研究有什么实际作用,然后,再写课题的理论和学术价值。这些都要写得具体一点,有针对性一点,不能漫无边际地空喊口号。不要都写成是坚持党教育方针、实施素质教育、提高教育教学质量等一般性的口号。主要内容包括:⑴ 研究的有关背景(课题的提出):即根据什么、受什么启发而搞这项研究。 ⑵ 通过分析本地(校) 的教育教学实际,指出为什么要研究该课题,研究的价值,要解决的问题。(三)本课题国内外研究的历史和现状(文献综述)。规范些应该有,如果是小课题可以省略。一般包括:掌握其研究的广度、深度、已取得的成果;寻找有待进一步研究的问题,从而确定本课题研究的平台(起点)、研究的特色或突破点。 参考总课题报告。(四)课题研究的指导思想指导思想就是在宏观上应坚持什么方向,符合什么要求等,这个方向或要求可以是哲学、政治理论,也可以是政府的教育发展规划,也可以是有关研究问题的指导性意见等。对于范围比较大,时间又很长的课题来讲,大家在总的方面,有了一个比较明确的指导思想,就可以避免出现理论研究中的一些方向性错误。这里,我给大家介绍一下何老师在《佛山市教育现代化进程》研究方案里写的课题指导思想里的一段话:“这一课题研究要依据党中央和国家要求,依据广东省委省政府的决定,依据佛山市委市政府的决定,结合国情、市情和佛山市教育改革与发展的实际,……力求揭示佛山市教育现代化进程的规律及表现形式,为佛山市教育现代化实践服务”。另外,还有一份供大家参考一下,广东省教育科研“九五”规划重点课题《学科教学与素质教育》研究和实验方案里面,课题指导思想这样写:“坚持以马克思主义、毛泽东思想和邓小平理论为指导,从我国经济领域实现“两个转变”和我省2010年基本实现现代化对基础教育的要求出发,针对在中小学学科教学中实施素质教育的有关理论和实践问题,开展全方位的改革实验和理论研究,有效指导广大中小学教师在学科教学中深入教学改革,全面贯彻教育方针,全面提高教育质量,从而推进我省基础教育事业向前发展,为把广东建成教育强省作出贡献 ”。(五) 课题研究的目标课题研究的目标也就是课题最后要达到的具体目的,要解决哪些具体问题,也就是本课题研究要达到的预定目标:即本课题研究的目标定位,确定目标时要紧扣课题,用词要准确、精练、明了。相对于目的和指导思想而言,研究目标是比较具体的,不能笼统地讲,必须清楚地写出来。只有目标明确而具体,才能知道工作的具体方向是什么,才知道研究的重点是什么,思路就不会被各种因素所干扰。常见存在问题是:不写研究目标;目标扣题不紧;目标用词不准确;目标定得过高, 对预定的目标没有进行研究或无法进行研究。确定课题研究目标时,一方面要考虑课题本身的要求,另一方面要考虑课题组实际的工作条件与工作水平。(六)课题研究的基本内容我们有了课题的研究目标,就要根据目标来确定我们这个课题具体要研究的内容,相对研究目标来说,研究内容要更具体、明确。并且一个目标可能要通过几方面的研究内容来实现,他们不一定是一一对应的关系。大家在确定研究内容的时候,往往考虑的不是很具体,写出来的研究内容特别笼统、模糊,把研究的目的、意义当作研究内容,这对我们整个课题研究十分不利。因此,我们要学会把课题进行分解,一点一点地去做。 基本内容一般包括:⑴对课题名称的界说。应尽可能明确三点:研究的对象、研究的问题、研究的方法。⑵本课题研究有关的理论、名词、术语、概念的界说。(七)课题研究的方法1、本课题研究是否要设定子课题。 各子课题既要有一定的相对独立性,又要形成课题系统。作为省、市级课题,最好设定子课题。形成全校的课题研究系统。2、具体的研究方法可从下面选定: 观察法、调查法、实验法、经验总结法、 个案法、比较研究法、文献资料法等。如要研究学生实践能力的现状必定离不开调查法; 要研究如何优化小学生个性宜采用实验法;要研究如何对青年教师进行培养可采用经验总结法;要研究问题家庭学生的教育对策可采用个案法等等。3、确定研究方法时要叙述清楚“做些什么” 和“怎样做” 。如要用调查法,则要讲清调查的目的、任务、对象、范围、调查方法、问卷的设计或来源等。最好能把调查方案附上。4、提倡使用综合的研究方法。 一个大的课题往往需要多种方法,小的课题可能主要是一种方法,但也要利用其它方法。我们在应用各种方法时,一定要严格按照方法的要求,不能不三不四,凭经验、常识去做。比如,我们要通过调查了解情况,我们如何制订调查表,如何进行分析,不是随随便便发张表,搞一些百分数、平均数就行了。突出介绍行动研究法。(八)课题研究的步骤课题研究的步骤,也就是课题研究在时间和顺序上的安排。研究的步骤要充分考虑研究内容的相互关系和难易程度,一般情况下,都是从基础问题开始,分阶段进行,每个阶段从什么时间开始,至什么时间结束都要有规定。课题研究的主要步骤和时间安排包括:整个研究拟分为哪几个阶段;各阶段的起止时间;各阶段要完成的研究目标、任务;各阶段的主要研究步骤;本学期研究工作的日程安排等。(九)课题研究的成果形式本课题研究拟取得什么形式的阶段研究成果和终结研究成果。形式有很多,如调查报告、实验报告、研究报告、论文、经验总结、调查量表、测试量表、微机软件、教学设计、录像带等,其中调查报告、研究报告、论文是课题研究成果最主要的表现形式。 课题不同,研究成果的内容、形式也不一样,但不管形式是什么,课题研究必须有成果,否则,就是这个课题就没有完成。(十)课题研究的组织机构和人员分工在方案中,要写出课题组长、副组长、课题组成员以及分工。课题组组长就是本课题的负责人。一个课题组应该包括三方面的人,一是有权之士,二是有识之士,三是有志之士。有权了课题就可以得到更多的支持,有识了课题质量、水平就会更高,有志了可以不怕辛苦,踏踏实实踏实实去干。课题组的分工必须是要分得明确合理,争取让每个人了解自己工作和责任,不能吃大锅饭。但是在分工的基础上,也要注意全体人员的合作,大家共同研究,共同商讨,克服研究过程中的各种困难和问题。(十一)其他有关问题或保障机制如课题组活动时间; 学习什么有关理论和知识,如何学习,要进行或参加哪些培训; 如何保证研究工作的正常进行; 课题经费的来源和筹集; 如何争取有关领导的支持和专家的指导; 如何与校外同行交流等。四、注意三点:1、要学会搜集和获取信息。处处留心皆学问(积累)。2、要多学习,多借鉴。集思广益开眼界(学习与借鉴)。3、创新。登高望远多创意(创新)。

一 汽车尾灯控制电路设计内容及要求(一) 设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时只是灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1—R1R2—R1R2R3—全灭—R1)时间间隔0.5S(采用一个2Hz的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1—L1L2—L1L2L3—全灭—L1);在临时刹车或者检查尾灯是否正常时,所有指示灯同时点亮(R1R2R3L1L2L3点亮);当汽车后退的时候所有尾灯循环点亮,当晚上行车的时候汽车尾灯最下一个灯一直点亮。 (二) 设计要求1 汽车尾灯的控制电路的设计要求 汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 (1)当接通右转电键时,右侧的3个汽车尾灯(用发光二极管模拟)按照右循环的顺序依次点亮。 (2)当接通左转电键时,左侧的3个汽车尾灯按照左循环的顺序依次点亮。 (3)当接通刹车电键时,汽车所有的尾灯同时闪烁。 (4)当接通检查电键时,汽车所有的尾灯点亮。 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高点评时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示,汽车尾灯控制电路设计总体框图如图1所示。表1 汽车尾灯和汽车运行状态表图1 汽车尾灯控制电路设计总体框图二 电路的工作原理 经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分: 首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。 3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。三 系统方案的选择 在设计本电路时,一共考虑过二种方案。这二种方案的不同点在于产生001、010、100三种信号的方法不同。下面简单的介绍一下这二种方案: (一)方案一:该方案通过74LS160计数器构成能产生01、10、11三种状态循环的信号,然后再通过逻辑电路将其转换成所需的001、010、100三种左转或右转的信号。 设:74LS160输出的两位信号从高位到低位分别是B A,输出信号为Z Y X。 则 经过 的逻辑运算便可实现所需的功能。 电路图如下图2 尾灯在闪烁时会出现不自然 但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。 (二)方案二:该方案是由555脉冲电路产生频率为1Hz的脉冲信号来驱动74LS160S组成的计数器电路,由开关电路来控制74LS138组成的译码电路,由计数电路和译码电路一起控制显示驱动电路,从而控制尾灯的亮灭,555定时器产生的信号可以使尾灯快速闪亮,通过D触发器产生001、010、100的三种状态信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。 方案二是本次设计中使用的方案,该方案的详细设计将在下面详细叙述。

汽车尾灯电路设计毕业论文

1. 智能压力传感器系统设计 2. 智能定时器 3. 液位控制系统设计 4. 液晶控制模块的制作 5. 嵌入式激光打标机运动控制卡软件系统设计 6. 嵌入式激光打标机运动控制卡硬件系统设计 7. 基于单片机控制的数字气压计的设计与实现 8. 基于MSC1211的温度智能温度传感器 9. 机器视觉系统 10. 防盗与恒温系统的设计与制作 11. 防盗报警器 12. AT89S52单片机实验系统的开发与应用 13. 在单片机系统中实现SCR(可控硅)过零控制 14. 微电阻测量系统 15. 基于单片机的电子式转速里程表的设计 16. 基于GSM短信模块的家庭防盗报警系统 17. 公交车汉字显示系统 18. 基于单片机的智能火灾报警系统 19. WIN32环境下对PC机通用串行口通信的研究及实现 20. FIR数字滤波器的MATLAB设计与实现方法研究 21. 无刷直流电机数字控制系统的研究与设计 22. 直线电机方式的地铁模拟地铁系统制作 23. 稳压电源的设计与制作 24. 线性直流稳压电源的设计 25. 基于CPLD的步进电机控制器 26. 全自动汽车模型的设计制作 27. 单片机数字电压表的设计 28. 数字电压表的设计 29. 计算机比值控制系统研究与设计 30. 模拟量转换成为数字量的红外传输系统 31. 液位控制系统研究与设计 32. 基于89C2051 IC卡读/写器的设计 33. 基于单片机的居室安全报警系统设计 34. 模拟量转换成为数字量红外数据发射与接收系统 35. 有源功率因数校正及有源滤波技术的研究 36. 全自动立体停车场模拟系统的制作 37. 基于I2C总线气体检测系统的设计 38. 模拟量处理为数字量红外语音传输接收系统的设计 39. 精密VF转换器与MCS-51单片机的接口技术 40. 电话远程监控系统的研究与制作 41. 基于UCC3802的开关电源设计 42. 串级控制系统设计 43. 分立式生活环境表的研究与制作(多功能电子万年历) 44. 高效智能汽车调节器 45. 变速恒频风力发电控制系统的设计 46. 全自动汽车模型的制作 47. 信号源的设计与制作 48. 智能红外遥控暖风机设计 49. 基于单片控制的交流调速设计 50. 基于单片机的多点无线温度监控系统 51. 蔬菜公司恒温库微机监控系统 52. 数字触发提升机控制系统 53. 农业大棚温湿度自动检测 54. 无人监守点滴自动监控系统的设计 55. 积分式数字电压表设计 56. 智能豆浆机的设计 57. 采用单片机技术的脉冲频率测量设计 58. 基于DSP的FIR滤波器设计 59. 基于单片机实现汽车报警电路的设计 60. 多功能数字钟设计与制作 61. 超声波倒车雷达系统硬件设计 62. 基于AT89C51单片机的步进电机控制系统 63. 模拟电梯的制作 64. 基于单片机程控精密直流稳压电源的设计 65. 转速、电流双闭环直流调速系统设计 66. 噪音检测报警系统的设计与研究 67. 转速闭环(V-M)直流调速系统设计 68. 基于单片机的多功能函数信号发生器设计 69. 基于单片机的超声波液位测量系统的设计 70. 仓储用多点温湿度测量系统 71. 基于单片机的频率计设计 72. 基于DIMM嵌入式模块在智能设备开发中的应用 73. 基于DS18B20的多点温度巡回检测系统的设计 74. 计数及数码显示电路的设计制作 75. 矿井提升机装置的设计 76. 中频电源的设计 77. 数字PWM直流调速系统的设计 78. 开关电源的设计 79. 基于ARM的嵌入式温度控制系统的设计 80. 锅炉控制系统的研究与设计 81. 智能机器人的研究与设计 ——\u001F自动循轨和语音控制的实现 82. 基于CPLD的出租车计价器设计——软件设计 83. 声纳式高度计系统设计和研究 84. 集约型无绳多元心脉传感器研究与设计 85. CJ20-63交流接触器的工艺与工装 86. 六路抢答器设计 87. V-M双闭环不可逆直流调速系统设计 88. 机床润滑系统的设计 89. 塑壳式低压断路器设计 90. 直流接触器设计 91. SMT工艺流程及各流程分析介绍 92. 大棚温湿度自动控制系统 93. 基于单片机的短信收发系统设计 ――硬件设计 94. 三层电梯的单片机控制电路 95. 交通灯89C51控制电路设计 96. 基于D类放大器的可调开关电源的设计 97. 直流电动机的脉冲调速 98. 红外快速检测人体温度装置的设计与研制 99. 基于8051单片机的数字钟 100. 48V25A直流高频开关电源设计 101. 动力电池充电系统设计 102. 多电量采集系统的设计与实现 103. PWM及单片机在按摩机中的应用 104. IC卡预付费煤气表的设计 105. 基于单片机的电子音乐门铃的设计 106. 基于单片机的温湿度测量系统设计 107. 基于单片机的简易GPS定位信息显示系统设计 108. 基于单片机的简单数字采集系统设计 109. 大型抢答器设计 110. 新型出租车计价器控制电路的设计 111. 500kV麻黄线电磁环境影响计算分析 112. 单片机太阳能热水器测控仪的设计 113. LED点阵显示屏-软件设计 114. 双容液位串级控制系统的设计与研究 115. 三电平Buck直流变换器主电路的研究 116. 基于PROTEUS软件的实验板仿真 117. 基于16位单片机的串口数据采集 118. 电机学课程CAI课件开发 119. 单片机教学实验板——软件设计 120. PN结(二极管)温度传感器性能的实验研究 121. 微电脑时间控制器的软件设计 122. 基于单片机AT89S52的超声波测距仪的研制 123. 硼在TLP扩散连接中的作用机理研究 124. 多功能智能化温度测量仪设计 125. 电网系统对接地电阻的智能测量 126. 基于数字采样法的工频电参数测量系统的设计 127. 动平衡检测系统的设计 128. 非正弦条件下电参测量的研究 129. 频率测量新原理的研究 130. 基于LABVIEW的人体心率变异分析测量 131. 学校多功能厅音响系统的设计与实现 132. 利用数字电路实现电子密码锁 133. 矩形微带天线的设计 134. 简易逻辑仪的分析 135. 无线表决系统的设计 136. 110kV变电站及其配电系统的设计 137. 10KV变电所及低压配电系统设计 138. 35KV变电所及低压配电系统设计 139. 6KV配电系统及车间变电所设计 140. 交流接触器自动化生产流水线设计 141. 63A三极交流接触器设计 142. 100A交流接触器设计 143. CJ20—40交流接触器工艺及工装设计 144. JSS型数字式时间继电器设计 145. 半导体脱扣器的设计 146. 12A交流接触器设计 147. CJ20-100交流接触器装配线设计 148. 真空断路器的设计 149. 总线式智能PID控制仪 150. 自动售报机的设计 151. 小型户用风力发电机控制器设计 152. 断路器的设计 153. 基于MATLAB的水轮发电机调速系统仿真 154. 数控缠绕机树脂含量自控系统的设计 155. 软胶囊的单片机温度控制(硬件设计) 156. 空调温度控制单元的设计 157. 基于人工神经网络对谐波鉴幅 158. 基于单片机的鱼用投饵机自动控制系统的设计 159. 基于MATLAB的调压调速控制系统的仿真研究 160. 锅炉汽包水位控制系统 161. 基于单片机的无刷直流电机控制系统设计 162. 煤矿供电系统的保护设计——硬件电路的设计 163. 煤矿供电系统的保护设计——软件设计 164. 大容量电机的温度保护——软件设计 165. 大容量电机的温度保护 ——硬件电路的设计 166. 模块化机器人控制器设计 167. 电子式热分配表的设计开发 168. 中央冷却水温控制系统 169. 基于单片机的玻璃管加热控制系统设计 170. 基于AT89C51单片机的号音自动播放器设计 171. 基于单片机的普通铣床数控化设计 172. 基于AT89C51单片机的电源切换控制器的设计 173. 基于51单片机的液晶显示器设计 174. 手机电池性能检测 175. 自动门控制系统设计 176. 汽车侧滑测量系统的设计 177. 超声波测距仪的设计及其在倒车技术上的应用 178. 篮球比赛计时器设计 179. 基于单片机控制的红外防盗报警器的设计 180. 智能多路数据采集系统设计 181. 继电器保护毕业设计 182. 电力系统电压频率紧急控制装置研究 183. 用单片机控制的多功能门铃 184. 全氢煤气罩式炉的温度控制系统的研究与改造 185. 基于ATmega16单片机的高炉透气性监测仪表的设计 186. 基于MSP430的智能网络热量表 187. 火电厂石灰石湿法烟气脱硫的控制 188. 家用豆浆机全自动控制装置 189. 新型起倒靶控制系统的设计与实现 190. 软开关技术在变频器中的应用 191. 中频感应加热电源的设计 192. 智能小区无线防盗系统的设计 193. 智能脉搏记录仪系统 194. 直流开关稳压电源设计 195. 用单片机实现电话远程控制家用电器 196. 无线话筒制作 197. 温度检测与控制系统 198. 数字钟的设计 199. 汽车尾灯电路设计 200. 篮球比赛计时器的硬件设计 201. 公交车报站系统的设计 202. 频率合成器设计 203. 基于RS485总线的远程双向数据通信系统的设计 204. 宾馆客房环境检测系统 205. 智能充电器的设计与制作 206. 基于单片机的电阻炉温度控制系统设计 207. 单片机控制的PWM直流电机调速系统的设计 208. 遗传PID控制算法的研究 209. 模糊PID控制器的研究及应用 210. 楼宇自动化系统的设计与调试 211. 基于AT89C51单片机控制的双闭环直流调速系统设计212. 基于89C52的多通道采集卡的设计 213. 单片机自动找币机械手控制系统设计 214. 单片机控制PWM直流可逆调速系统设计 215. 单片机电阻炉温度控制系统设计 216. 步进电机实现的多轴运动控制系统 217. IC卡读写系统的单片机实现 218. 基于单片机的户式中央空调器温度测控系统设计 219. 基于单片机的乳粉包装称重控制系统设计 220. 18B20多路温度采集接口模块 221. 基于单片机防盗报警系统的设计 222. 基于MAX134与单片机的数字万用表设计 223. 数字式锁相环频率合成器的设计 224. 集中式干式变压器生产工艺控制器 225. 小型数字频率计的设计 226. 可编程稳压电源 227. 数字式超声波水位控制器的设计 228. 基于单片机的室温控制系统设计 229. 基于单片机的车载数字仪表的设计 230. 单片机的水温控制系统 231. 数字式人体脉搏仪的设计 232. I2C总线数据传输应用研究(硬件部分) 233. STV7697在显示驱动电路系统中的应用(软件设计)234. LED字符显示驱动电路(软件部分) 235. 智能恒压充电器设计 236. 基于单片机的定量物料自动配比系统 237. 现代发动机自诊断系统探讨 238. 基于单片机的液位检测 239. 基于单片机的水位控制系统设计 240. FFT在TMS320C54XDSP处理器上的实现 241. 基于模拟乘法器的音频数字功率设计 242. 正弦稳态电路功率的分析 243. 基于Multisim三相电路的仿真分析 244. 他励直流电动机串电阻分级启动虚拟实验 245. 并励直流电动机串电阻三级虚拟实验 246. 基于80C196MC交流调速实验系统软件的设计与开发 247. 基于VDMOS调速实验系统主电路模板的设计与开发 248. 基于Matlab的双闭环PWM直流调速虚拟实验系统 249. 基于IGBT-IPM的调速实验系统驱动模板的设计与开发 250. 基于87C196MC交流调速系统主电路软件的设计与开发 251. HEF4752为核心的交流调速系统控制电路模板的设计与开发 252. 基于87C196MC交流调速实验系统软件的设计与开发 253. 87C196MC单片机最小系统单路模板的设计与开发 254. MOSFET管型设计开关型稳压电源 255. 电子密码锁控制电路设计 256. 基于单片机的数字式温度计设计 257. 智能仪表用开关电源的设计 258. 遥控窗帘电路的设计 259. 双闭环直流晶闸管调速系统设计 260. 三路输出180W开关电源的设计 261. 多点温度数据采集系统的设计 262. 列车测速报警系统 263. PIC单片机在空调中的应用 264. 基于单片机的温度采集系统设计 265. 基于单片机89C52的啤酒发酵温控系统 266. 基于MCS-51单片机温控系统设计的电阻炉 267. 基于单片机的步进电机控制系统 268. 新颖低压万能断路器 269. 万年历可编程电子钟控电铃 270. 数字化波形发生器的设计 271. 高压脉冲开关电源 272. 基于MCS-96单片机的双向加力式电子天平 273. 语音控制小汽车控制系统设计 274. 智能型客车超载检测系统的设计 275. 热轧带钢卷取温度反馈控制器的设计 276. 直流机组电动机设计 277. 龙门刨床驱动系统的设计 278. 基于单片机的大棚温、湿度的检测系统 279. 微波自动门 280. 基于DS18B20温度传感器的数字温度计设计 281. 节能型电冰箱研究 282. 交流异步电动机变频调速设计 283. 基于单片机控制的PWM调速系统 284. 基于单片机的数字温度计的电路设计 285. 基于Atmel89系列芯片串行编程器设计 286. 基于单片机的实时时钟 287. 基于MCS-51通用开发平台设计 288. 基于MP3格式的单片机音乐播放系统 289. 基于单片机的IC卡智能水表控制系统设计 290. 基于MATLAB的FIR数字滤波器设计 291. 单片机水温控制系统 292. 110kV区域降压变电所电气系统的设计 293. ATMEIL AT89系列通用单片机编程器的设计 294. 基于单片机的金属探测器设计 295. 双闭环三相异步电动机串级调速系统 296. 基于单片机技术的自动停车器的设计 297. 单片机电器遥控器的设计 298. 自动剪板机单片机控制系统设计 299. 蓄电池性能测试仪设计 300. 电气控制线路的设计原则 301. 无线比例电机转速遥控器的设计 302. 简易数字电子称设计 303. 红外线立体声耳机设计 304. 单片机与PC串行通信设计 305. 100路数字抢答器设计 306. D类功率放大器设计 307. 铅酸蓄电池自动充电器 308. 数字温度测控仪的设计 309. 下棋定时钟设计 310. 温度测控仪设计 311. 数字频率计 312. 数字集成功率放大器整体电路设计 313. 数字电容表的设计 314. 数字冲击电流计设计 315. 数字超声波倒车测距仪设计 316. 路灯控制器 317. 扩音机的设计 318. 交直流自动量程数字电压表 319. 交通灯控制系统设计 320. 简易调频对讲机的设计 321. 峰值功率计的设计 322. 多路温度采集系统设计 323. 多点数字温度巡测仪设计 324. 电机遥控系统设计 325. 由TDA2030A构成的BTL功率放大器的设计 326. 超声波测距器设计 327. 4-15V直流电源设计 328. 家用对讲机的设计 329. 流速及转速电路的设计 330. 基于单片机的家电远程控制系统设计 331. 万年历的设计 332. 单片机与计算机USB接口通信 333. LCD数字式温度湿度测量计 334. 逆变电源设计 335. 基于单片机的电火箱调温器 336. 表面贴片技术SMT的广泛应用及前景 337. 中型电弧炉单片机控制系统设计 338. 中频淬火电气控制系统设计 339. 新型洗浴器设计 340. 新型电磁开水炉设计 341. 基于电流型逆变器的中频冶炼电气设计 342. 6KW电磁采暖炉电气设计 343. 64点温度监测与控制系统 344. 电力市场竞价软件设计 345. DS18B20温度检测控制 346. 步进电动机驱动器设计 347. 多通道数据采集记录系统 348. 单片机控制直流电动机调速系统 349. IGBT逆变电源的研究与设计 350. 软开关直流逆变电源研究与设计 351. 单片机电量测量与分析系统 352. 温湿度智能测控系统 353. 现场总线控制系统设计 354. 加热炉自动控制系统 355. 电容法构成的液位检测及控制装置 356. 基于CD4017电平显示器 357. 无线智能报警系统 358. 可编程的LED(16×64)点阵显示屏 359. 多路智力抢答器设计 360. 8×8LED点阵设计 361. 电子风压表设计 362. 智能定时闹钟设计 363. 数字音乐盒设计 364. 数字温度计设计 365. 数字定时闹钟设计 366. 数字电压表设计 367. 计算器模拟系统设计 368. 定时闹钟设计 369. 电子万年历设计 370. 电子闹钟设计 371. 单片机病房呼叫系统设计 372. 家庭智能紧急呼救系统的设计 373. 自动车库门的设计 374. 异步电动机功率因数控制系统的研究 375. 普通模拟示波器加装多功能智能装置的设计 376. 步进电机运行控制器的设计 377. 80C196MC控制的交流变频调速系统设计 378. 汽车防盗系统 379. 简易远程心电监护系统 380. 智能型充电器的电源和显示的设计 381. 电气设备的选择与校验 382. 论供电系统中短路电流及其计算 383. 论工厂的电气照明 384. 论无线通信技术热点及发展趋势 385. 浅论10KV供电系统的继电保护的设计方案 386. 试论供电系统中的导体和电器的选择 387. 大棚仓库温湿度自动控制系统 388. 自行车车速报警系统 389. 智能饮水机控制系统 390. 基于单片机的数字电压表设计 391. 多用定时器的电路设计与制作 392. 智能编码电控锁设计 393. 串联稳压电源的设计 394. 红外恒温控制器的设计与制作 395. 自行车里程,速度计的设计 396. 等精度频率计的设计 397. 浮点数运算FPGA实现 398. 人体健康监测系统设计 399. 基于单片机的音乐喷泉控制系统设计 400. 基于LabVIEW的虚拟频谱分析仪的研究与设计 401. 感应式门铃的设计与制作 402. 电子秤设计与制作 403. 电动车三段式充电器 404. SB140肖特基二极管制造与检测 405. SMT技术 406. 基于单片机的温度测量系统的设计 407. 龙门刨床的可逆直流调速系统的设计 408. 公交车站自动报站器的设计 409. 单片机波形记录器的设计 410. 音频信号分析仪 411. 基于单片机的机械通风控制器设计

留下你的邮箱,我发给你!

基于视频的人流量监测系统设计与实现 图像水印识别微信小程序设计与实现 基于重力传感器的飞机大战游戏开发 手机平台加减乘除口算训练游戏开发 基于Android平台的个人移动地图软件开发 面向多种数据源的爬虫系统的设计与实现 基于Zabbix的服务器监控系统的设计与实现 基于新浪微博的分布式爬虫以及对数据的可视化处理 基于分布式的新闻热点网络爬虫系统与设计 舆情分析可视化系统的设计与实现 基于大数据的用户画像的新闻APP设计 基于Android平台的语言翻译程序设计与实现 基于SSH的水电信息管理系统的设计与实现 基于SSM的学科竞赛管理系统

一、设计题目汽车尾灯控制电路设计二、设计任务假设汽车尾灯左右两侧各有三个指示灯(用发光二极管模拟),要求:汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁三、设计计划第1天:查资料,熟悉题目;第2天:提出初步方案;第3~4天:设计电路;第5天:编写设计说明书。四、设计要求1.画出整体电路图。 2. 写出设计说明书。3. 同组同学的的设计不能雷同。 4. 电路图中的图形必须本人亲自绘制 摘要 随着经济的发展,汽车越来越被人们所需要,而由此也引发了一系列的问题。比如,因为汽车的突然转向所引发的车祸经常出现。如果汽车转弯可以通过尾灯状态的变化来确定就可以提示司机、行人朋友们车子正在转弯,一定程度的避免车祸的发生。本文是关于汽车尾灯控制电路的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路由模式控制电路,三进制计数器,译码与显示驱动电路,尾灯状态显示4部分组成。分析了使能控制信号与模式控制变量、时钟脉冲的关系,运用J—K触发器、3—8译码器等实现了根据汽车的运行状态,指示灯显示4种不同的模式。本文详细的介绍了电路的设计思路及其实现过程,包括了整个设计流程。关键字:模式控制电路;三进制计数器;J—K触发器;3—译码器; 综述随着社会的发展,科学技术也在不断的进步,现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。在本课程设计根据汽车运行状态的于汽车尾灯显示的关系,实现了对汽车尾灯显示状态的控制。根据汽车运行情况,指示灯具有4种不同的显示模式:1汽车正向行驶时,左右两侧的指示灯全部处于熄灭状态;2汽车右转弯行驶时,右侧的3个指示灯按右循环顺序点亮;3汽车左转弯行驶时,左侧的3个指示灯按左循环顺序点亮;4汽车临时刹车时,左右两侧的指示灯同时处于闪烁状态。

汽车防盗控制电路设计毕业论文

文章什么要求啊字数了?

摘要:家庭自动化系统是适应现代生活对家庭功能逐渐增长的需求发展起来的一个系统,该系统的内容、构成和配置因国度、家庭的经济实力、家庭的知识结构以及个人喜好的不同而不同。因此,家庭自动化系统的配置与住宅小区的定位(安置型、实用型、舒适型还是豪华型)以及住户的类型比例(经济实力、知识结构等)有着密切的关系。 关键词:住宅小区 防盗报警系统 方案 1.家庭报警系统设计 1.1概述 家庭自动化系统是适应现代生活对家庭功能逐渐增长的需求发展起来的一个系统,该系统的内容、构成和配置因国度、家庭的经济实力、家庭的知识结构以及个人喜好的不同而不同。因此,家庭自动化系统的配置与住宅小区的定位(安置型、实用型、舒适型还是豪华型)以及住户的类型比例(经济实力、知识结构等)有着密切的关系。 一般地,从结构上来讲,家庭自动化系统由家庭控制器、家庭布线、传感器/执行器等构成;每一个家庭控制器作为智能小区网络中的一个智能节点,互联成网并上联至小区综合管理系统;从信息组成上来讲,家庭自动化系统包括语音信息、数据信息、视频信息以及控制信息等;从功能上来讲,家庭自动化系统包括安防功能(可视对讲、防盗报警、火灾探测、煤气泄露报警、玻璃破碎探测以及紧急呼叫按钮)、控制功能(灯光控制、空调控制、门锁控制以及其他家用电器的控制)。 家庭报警的防护区域分成两部分,即住宅周界防护和住宅内区域防护。住宅周界防护是指在住宅的门、窗上安装门磁开关;住宅内区域防护是指在主要通道、重要的房间内安装红外探测器。当家中有人时,住宅周界防护的防盗报警设备(门磁开关)设防,住宅内区域防护的防盗报警设备(红外探测器)撤防。当家人出门后,住宅周界防护的防盗报警设备(门磁开关)和住宅区域防护的防盗报警设备(红外探测器)均设防。当有非法侵入时,家庭控制器发出声光报警信号,通知家人及小区物业管理部门。另外,通过程序可设定报警点的等级和报警器的灵敏度。 在当今高速发展的社会中,人们对自身所处的环境越来越关心,居家安全已成为当今小康之家优先考虑的问题。当您上班家中无人,或者仅有老人孩子在家,或者您晚上在家熟睡,您必须确保家庭成员和财产的绝对安全。 目前,众多住宅小区的安防防犯主要倚靠安装防盗窗、防盗门以及人工防犯。这样不仅有碍美观,不符合防火的要求、而且不能有效地防止坏人的侵入。现在全国都在开展建设安全文明的小区活动,提出取消防盗网,“走出牢笼”的口号。因此为配合捷报花园的现代化管理,担当起整个小区的安全保卫,给住户一个安全舒适的居住环境,本方案提供一套技术先进、性能完善的AURINE家庭报警系统,组成小区内的智能安全防范系统。AURINE作为一家专业电子安全服务公司,采用先进的科学技术,加以丰富的保安实际经验和知识,向社会提供各种超值安全设备服务,给用户带来安全和放心。 1.2设计思想 在小区内的每个住户单元安装一台报警主机,住户可选择安装在住户门口、窗户处安装门磁、紧急求助按钮、烟感探头、瓦斯探头、三鉴探头等报警感知设备,报警主机通过总线与管理中心的电脑相连接,进行安防信息管理,本系统具有远程报警功能,可选并联接打印机。如果发生盗贼闯入、抢劫、烟雾、燃气泄漏、玻璃破碎等紧急事故,传感器就会立即获知并由报警系统即刻触发声光警报以有效阻吓企图行窃的盗贼,而现场保安系统的密码键盘立即显示相应报警区域,使您的家人保持警戒;系统还会迅速向报警中心传送报警信息;报警中心接到警情后立即自动进行分辨处理,迅速识别判定警报类型、地点、用户,电子地图显示报警位置并瞬间检索打印用户报警信息,中心据此派出机动力量采取相应解救措施;系统具备24小时防破坏功能并自我监视,一旦有任何被破坏的迹象也会即刻报警。总之,无论白天黑夜,您离家在外还是在家休息,电子保安时时刻刻保护您的安全。这正是您能为您的家人、家庭、财产所做的最有效的安全防盗保护措施。 1.3系统设计目标 通过在住宅内门窗及室内其他部位安装各种探测器进行昼夜控制,当监测到警情时,通过住宅内的报警主机传送至智能管理中心的报警接收计算机、接收将准确显示警情发生的住户名称、地址和警报类型、提示保安人员迅速确认警情,及时赶赴现场,以确保住户和人身安全。 同时,住户也可通过固定式紧急呼叫报警系统,在住宅内发生抢劫案件和病人突发疾病时,向智能化管理中心呼叫报警,中心可根据情况迅速处理。 1.4报警设备选型原则 防盗报警系统的设计应当从实际需要出发,尽可能的使系统的结构简单、可靠,设计时应遵循的基本原则如下: (1)系统可靠必须高,即使工作电源发生故障,系统也必须处于随时能够工作的状态。 (2)系统应具备一定的扩充能力,以适应日后使用功能的变化。 (3)报警器应安装在非法闯入者不易察觉的位置,和报警器相连的线路最好采用钢管暗埋的方式进行敷设。 (4)传感器尽量安装在不显眼的地方,当受损时易于发现,且容易处理的场所。 (5)系统应当符合有关的国家和福建省地方标准,即集散型结构通过总线方式将报警控制中心与现场控制器连接起来,而探测器则分别连接到现场控制器上。在难于布线的局部区域宜采用无线通信设备。 (6)系统应尽量采用标准产品,便于日后系统的维护和检修。 (7)系统必须采用多层次,立体化的防卫方式。目标保护不能出现控制盲区。 我们在为捷报花园进行家庭报警系统设计时,充分考虑以上原则,为住户建议和设计最为适用的报警系统设备,安装隐蔽灵活。 1.5系统组成 根据以上对家庭报警系统的要求分析我们选用AURINE生产的家庭报警系列产品,其系统组成如下: 家庭报警系统由住户前端、传输和管理中心三部分组成: 以上是其中的一部分,因为有图例,我把网址发给你 麻烦采纳,谢谢!

第1章 绪 论随着经济的发展,人们对防盗、防劫、防火保安设备的需求量大大增加。针对偷盗、抢劫、火灾、煤气泄漏等事故进行检测和报警的系统,其需求也越来越高。本设计运用单片机技术设计了一新颖红外线防盗报警器。而本设计中的输入部分主要是各种各样的传感器。不同类型的探测器用不同的手段探测各种入侵行为;不同作用的传感器,也可检测出不同类型的情况。本章节主要介绍了本设计的选题背景、课题介绍、本文主要工作、方案论证。1.1选题背景单片机现在已越来越广泛地应用于智能仪表、工业控制、日常生活等很多领域,可以说单片机的应用已渗透到人类的生活、工作的每一个角落,这说明它和我们每个人的工作、生活密切相关,也说明我们每个人都有可能和有机会利用单片机去改造你身边的仪器、产品、工作与生活环境。红外技术已经成为先进科学技术的重要组成部分,他在各领域都得到广泛的应用。由于他是不可见光,因此用他做防盗报警监控器,具有良好的隐蔽性,白天黑夜均可使用,而且抗干扰能力强。这种监控报警装置广泛应用与博物馆、单位要害部门和家庭的防护[1]。通常红外线发射电路都是采用脉冲调制式。红外接收电路首先将接收到的红外光转换为电信号,并进行放大和解调出用于无线发射电路的调制信号。当无人遮挡红外光时,锁相环输出低电平,报警处于监控状态;一旦有人闯入便遮挡了红外光,则锁相环失锁,输出高电平,驱动继电器接通无线发射电路,监控室便可接收到无线报警信号,并可区分报警地点[2]。当我们考虑的范围广一点:若是在小区每一住户内安装防盗报警装置。当住户家中无人时,可把家庭内的防盗报警系统设置为布防状态,当窃贼闯入时,报警系统自动发出警报并向小区安保中心报警[3]。周界报警系统:在小区的围墙上设置主动红外对射式探测器,防止罪犯由围墙翻入小区作案,保证小区内居民的生活安全[4]。目 录第1章 绪 论 31.1选题背景 31.2课题介绍 41.3本文主要工作 51.4方案选择论证 51.4.1单片机的选择 51.4.2显示器工作原理及其选择 61.4.3液晶显示和数码显示 61.4.4 防盗报警选择传感器的选择 72.1硬件系统总体设计 82.2 AT89C51芯片的介绍 92.2.1引脚功能 92.2.2 结构原理 112.2.3 AT89C51定时器/计数器相关的控制寄存器介绍 122.2.4 MAX708芯片介绍 132.3 单片机复位设置 142.4 8255A芯片介绍 142.4.1 8255A的引脚和结构 152.4.2 8255的工作方式 162.4.3 8255的控制字 182.5 AT89C51与8255的接口电路 192.6 显示部分 202.6.1七段显示译码器 202.6.2 7448译码驱动 212.6.3 单片机与7448译码驱动器及LED的连接 232.6.4外部地址锁存器 23第3章 检测信号放大电路设计 243.1 热释红外线传感器典型电路 253.2 红外光敏二极管警灯电路 263.2.1 光敏二极管控制电路 273.3红外线探测信号放大电路设计 283.3.1光电耦合器驱动接口 303.3.2 集成电路运算放大器 313.3.3 精密多功能运算放大器INA105 313.3.4 低功耗、双运算放大器LM358 34第4章 电源设计 354.1 单片机系统电源 354.2检测部分电源 355.1 主程序设计 375.2 核对子程序设计 385.3 中断子程序设计 385.4 读数子程序设计 395.5 程序设计说明 405.6 程序清单 41第6章 调试 456.1安装调试 456.2音响(和继电器)驱动线路具体连接 456.3 程序修改 466.4 程序执行过程 47结论 48参考文献 49致谢 51原理图 52基于单片机控制的红外防盗报警器的设计[摘要]:随着社会的不断进步和科学技术、经济的不断发展,人们生活水平得到很大的提高,对私有财产的保护意识在不断的增强,因而对防盗措施提出了新的要求。 本设计就是为了满足现代住宅防盗的需要而设计的家庭式电子防盗系统。目前市面上装备主要有压力触发式防盗报警器、开关电子防盗报警器和压力遮光触发式防盗报警器等各种报警器,但这几种比较常见的报警器都存在一些缺点。本系统采用了热释电红外传感器,它的制作简单、成本低,安装比较方便,而且防盗性能比较稳定,抗干扰能力强、灵敏度高、安全可靠。这种防盗器安装隐蔽,不易被盗贼发现。同时它的信号经过单片机系统处理后方便和PC机通信,便于多用户统一管理。本设计包括硬件和软件设计两个部分。硬件部分包括单片机控制电路、红外探头电路、驱动执行报警电路、LED控制电路等部分组成。处理器采用51系列单片机AT89S51。整个系统是在系统软件控制下工作的。系统程序可以划分为以下几个模块: 数据采集、键盘控制、报警和显示等子函数。[关键词]:单片机、红外传感器、数据采集、报警电路。Infrared burglar alarm design controls which basedon the monolithicintegrated circuitAbstract :Along with society's unceasing progress and science and technology,economical unceasing development, the people living standard obtainsthe very big enhancement, to private property protection consciousnessin unceasing enhancement, thus set the new request to the securitymeasure. This design is for satisfy the family type electron securitysystem which the modern housing security needs to design.At present in the market condition equips mainly has the pressure totouch the hair style burglar alarm, the switch electron burglar alarmand the pressure shields light the hair style burglar alarmand so on each kind of alarm apparatus, but these kind of quite commonalarm apparatuses all have some shortcomings. This system used hashotly released the electricity infrared sensor, its manufacturesimple, cost low, installm the antijamming ability strong, thesensitivity high, safe was reliable. This kind of security installmenthiding, was not easily discovered by the bandits and thieves.Simultaneously its signal after monolithic integrated circuit systemprocessing the convenience and P the C machine correspondence, isadvantageous for the multiuser unification management.This design designs two parts including the hardware and software. Thehardware partially including the monolithic integrated circuit controlcircuit, infrared pokes head in the electric circuit, the actuationexecution alarm circuit, the LED control circuit and so on the partialcompositions. The processor uses 51 series monolithic integratedcircuits AT89S51, the overall system is works under the systemsoftware control. The system program may divide into following severalmodules: The data acquisition, the keyboard control, reports to thepolice with the demonstration small steelyard function.Key words: AT89S51 monolithic integrated circuit, infrared sensor,data acquisition, alarm circuit.目 录1. 绪论 1 1.1 前言 11.2 设计任务与要求 12. 热释电红外传感器概述 22.1 PIR传感器简单介绍 22.2 PIR 的原理特性 22.3 PIR 结构特性 33. AT89S51单片机概述 63.1 AT89S51单片机的结构 63.1.1管脚说明 83.1.2 主要特性 113.1.3 振荡器特性 113.2 AT89S51单片机的工作周期 123.3 AT89S51单片机的工作过程和工作方式 133.4 AT89S51的指令系统 164. 方案设计 184.1 系统概述 184.2 总体设计 194.3 系统硬件选择 194.4 硬件电路实现 204.5 软件的程序实现 215. 结论概述 275.1 主要结论 275.2 结束语 27致谢 28参考文献 29

要求多少字呢?详细要求私聊

电动汽车灯光控制系统毕业论文

交通灯智能控制系统设计1.概述 当前,在世界范围内,一个以微电子技术,计算机和通信技术为先导的,以信息技术和信息产业为中心的信息革命方兴未艾。而计算机技术怎样与实际应用更有效的结合并有效的发挥其作用是科学界最热门的话题,也是当今计算机应用中空前活跃的领域。本文主要从单片机的应用上来实现十字路口交通灯智能化的管理,用以控制过往车辆的正常运作。2.过程分析 图1是一个十字路口示意图。分别用1、2、3、4表明四个流向的主车道,用A、B、C、P分别表示各主车道的左行车道、直行车道、右行车道以及人行道。用a、b、c、p分别表示左转、直行、右转和人行道的交通信号灯,如图2所示。交通灯闪亮的过程:路口1的车直行时的所有指示灯情况为:3a3b2p绿3c红+4a4b4c 3p全红+1c 绿1a1b4p红+2c绿2a2b1p红路口2的车直行时的所有指示灯情况为:4a4b3p绿4c红+ 1a1b1c 4p全红+ 2c绿2a2b1p红+3c绿3a3b2p红故路口3的车直行时的所有指示灯情况为:1a1b4p绿1c红+ 2a2b2c 1p全红+3c绿 3a3b2p红+4c 绿4a4b3p红故路口4的车直行时的所有指示灯情况为:2a2b1p绿2c红+3c3a3b2p全红+4c绿4a4b3p红+1c绿1a1b4p红 图1:十字路口交通示意图 图2:十字路口通行顺序示意图 图3:十字路口交通指示灯示意图 图4:交通灯控制系统硬件框图 3、硬件设计 本系统硬件上采用AT89C52单片机和可编程并行接口芯片8155,分别控制图2所示的四个组合。AT89C52单片机具有MCS-51内核,片内有8KB Flash、256字节RAM、6个中断源、1个串行口、最高工作频率可达24MHz,完全可以满足本系统的需要 ;与其他控制方法相比,所用器件可以说是比较简单经济的。硬件框图如下: 电路原理图 [PDF]4、软件流程图 图5:交通灯控制系统流程图 5、交通灯控制系统软件 ORG 0000H LJMP MAIN ORG 0100HMAIN: MOV SP,#60H; LCALL DIR ;调用日期、时间显示子程序LOOP: MOV P1,#0FFH LJMP TEST LCALL ROAD1 ;路口1的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 MOV P1,#0FFH ;恢复P1口高电平 LCALL RESET ;恢复8155各口为高电平 LCALL YELLOW1 ;路口1的车直行-->路口2的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 LCALL RESET ;恢复8155各口为高电平 MOV P1,#0FFH ;恢复P1口 LCALL ROAD2 ;路口2的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 LCALL RESET ;恢复8155A 、B口为高电? MOV P1,#0FFH ;恢复P1口高电平 LCALL YELLOW2 ;路口2的车直行-->路口3的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 LCALL RESET ;恢复8155A 、B口为高电? MOV P1,#0FFH ;恢复P1口高电平 LCALL ROAD3 ;路口3的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 LCALL RESET ;恢复8155A 、B口为高电? MOV P1,#0FFH ;恢复P1口高电平 LCALL YELLOW3 ;路口3的车直行-->路口4的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 LCALL RESET ;恢复8155各口为高电平 MOV P1,#0FFH ;恢复P1口高电平 LJMP TEST LCALL ROAD4 ;路口4的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 SETB P1.5 ;恢复P1.5高电平 SETB P1.4 ;恢复P1.4高电平 MOV DPTR,#0FFFFH ;恢复8155各口为高电平 LCALL YELLOW4 ;路口4的车直行-->路口1的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 SETB P1.6 ;恢复P1.6高电平 SETB P1.3 ;恢复P1.3高电平 MOV DPTR,#0FFFFH ;恢复8155各口为高电平 LJMP LOOP;路口1的车直行时各路口灯亮情况3a3b2p绿3c红+4a4b4c3p全红+1c绿1a1b4p红+2c绿2a2b1p红ROAD1: MOV DPTR,#7F00H ;置8155命令口地址;无关位为1) MOV A,#03H ;A口、B口输出,A口、B口为基本输入输出方式 MOVX @DPTR,A ;写入工作方式控制字 INC DPTR ;指向A口 MOV A,#79H ;1a1b4p红1c绿2a2b1p红 MOVX @DPTR,A INC DPTR ;指向B口 MOV A,#0E6H ;3a3b2p绿3c红4a4b3p红 MOVX @DPTR,A MOV P1,#0DEH ;4c红2c绿 RET 6、结语 本系统结构简单,操作方便;可现自动控制,具有一定的智能性;对优化城市交通具有一定的意义。本设计将各任务进行细分包装,使各任务保持相对独立;能有效改善程序结构,便于模块化处理,使程序的可读性、可维护性和可移植性都得到进一步的提高。6、参考资料 [1]韩太林,李红,于林韬;单片机原理及应用(第3版)。电子工业出版社,2005 [2]刘乐善,欧阳星明,刘学清;微型计算机接口技术及应用。华中理工大学出版社,2003 [3]胡汉才;单片机原理及其接口技术。清华大学出版社,2000 返回首页关闭本窗口

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ieee.std_logic_1164.all;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use ieee.std_logic_1164.all使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月2009.12.27library ieee;use ieee.std_logic_1164.all;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ieee.std_logic_1164.all;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

第一部分摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。关键词:电路 单行线制 系统 导线 各种车灯目录:(1)全车线路的连接原则(2)识读电路图的基本要求(3)以东风EQ1090型载货汽车线路为例全车线路的认读a.电源系统线b.起动系统线路c.点火系统线路d.仪表系统线路e.照明与信号系统线路(4)全车电路的导线(5)识读图注意事项论汽车电路的识读方法在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。灯光照明电路是指控制组合开关、前大灯和小灯的电路系统;信号电路是指控制组合开关、转弯灯和报警灯的电路系统;仪表电路是指点火开关、仪表板和传感器电路系统;启动电路是指点火开关、继电器、起动机电路系统;充电电路是指调节器、发电机和蓄电池电路系统。以上电路系统是必不可少的,构成全车电路的基本部分。辅助电路是指控制雨刮器、音响等电路系统。随着汽车用电装备的增加,例如电动座椅、电动门窗、电动天窗等,各种辅助电路将越来越多。旧式汽车电路比较简单,一般情况下,它们的正极线(俗称火线)分别与保险丝盒相接,负极线(俗称地线)共用,重要节点有三个,保险丝盒、继电器和组合开关,绝大部分电路系统的一端接保险丝或开关,另一端联接继电器或用电设备。但在现代汽车的用电装置越来越多的情况下,线束将会越来越多,布线将会越来越复杂。随着汽车电子技术的发展,现代汽车电路已经与电子技术相结合,采用共用多路控制装置,而不是象旧式汽车那样通过单独的导线来传送。使用多路控制装置,各用电负载发送的输入信号通过电控单元(ECU)转换成数字信号,数字信号从发送装置传输到接收装置,在接收装置转换成所需信号对有关元件进行控制。这样就需在保险丝、开关和用电设备之间的电路上添加一个多路控制装置(参阅广州雅阁后雾灯线路简图)。采用多路控制线路系统可。第二部分第二部分简要介绍了全车线路识读的原则、要求与方法以及电路用线的规格。主要针对其在东风EQ1090车型汽车电路与电器系统应用情况作了概括性的阐述。其包括了电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等主要部分进行了说明。通过对东风EQ1090车型的系统学习,为以后接触到各类不同车型打下个坚实的基础。一、全车线路的连接原则全车线路按车辆结构形式、电器设备数量、安装位置、接线方法不同而各有不同,但其线路一般都以下几条原则:(1)汽车上各种电器设备的连接大多数都采用单线制;(2)汽车上装备的两个电源(发电机与蓄电池)必须并联连接;(3)各种用电设备采用并联连接,并由各自的开关控制;(4)电流表必须能够检测蓄电池充、放电电流的大小。因此,凡是蓄电池供电时,电流都要经过电流表与蓄电池构成的回路。但是,对于用电量大且工作时间较短的起动机电流则例外,即启动电流不经过电流表;(5)各型汽车均陪装保险装置,用以防止发生短路而烧坏用电设备。了解上面的原则,对分析研究各种车型的电器线路以及正确判断电器故障很有帮助。二、基本要求一般来讲全车电路有三种形式,即:线路图、原理图、线束图。(一)、识读电路图的基本要求了解全车电路,首先要识读该车的线路图,因为线路图上的电器是用图形符号以及外形表示的,容易识别。此外,线路图上的电器设备的位置与实际车上的位置是对应的,容易认清主要设备在车上的实际位置,同时,也可对设备的功能获得感性认识。识读电路图时,应按照用电设备的功用,识别主要用电设备的相对分布位置;识别用电设备的连接关系,初步了解单元回路的构成;了解导线的类型以及电流的走向。(二)、识读原理图的基本要求原理图是一图形符号方式,把全车用电设备、控制器、电源等按照一定顺序连接而成的。它的特点是将各单元回路依次排列,便于从原理上分析和认识汽车电路。识读原理图时,应了解全车电路的组成,找出各单元回路的电流通路,分析回路的工作过程。(三)、识读线束图的基本要求线束图是用来说明导线在车辆上安装的指导图。图上每根导线所注名的颜色与标号就是实际车上导线的颜色和到端子的所印数字。按次数字将导线接在指定的相关电器设备的接线柱上,就完成了连接任务。即使不懂原理,也可以按次接线。总上所述,掌握汽车全车线路(总线路),应按以下步骤进行:(1)对该车所使用的电气设备结构、原理有一定了解,知道他的规格。(2)认真识读电路图,达到了解全车所使用电气设备的名称、数量和实际安排位置;设备所用的接线柱数量、名称等。(3)识读原理图应了解主要电气设备的各接线柱和那些电器设备的接线柱相连;该设备分线走向;分线上开关、熔断器、继电器的作用;控制方式与过程。(4)识读线束图应了解该车有多少线束,各线束名称及在车上的安装位置;每一束的分支同向哪个电器设备,每分支又有几根导线及他们的颜色与标号,连接在那些接线柱上;该车有那些插接器以及他们之间的连接情况。(5)抓住典型电路,触类旁通。汽车电路中有许多部分是类似的,都是性质相同的基本回路,不同的只是个别情形。三、全车线路的认读下面以东风EQ1090型载货汽车线路为例,分析说明各电子系统电路的特点。东风EQ1090型载货汽车全车线路主要由电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等组成。(一)电源系统线路电源系统包括蓄电池、交流发电机以及调节器,东风EQ1090汽车配装电子式电压调节器,电源线路如图。其特点如下:(1)发电机与蓄电池并联,蓄电池的充放电电流由电流表指示。接线时应注意电流表的-端接蓄电池正极,电流表的+端与交流发电机‘电枢’接线柱A或B连接,用电设备的电流也由电流表+端引出,这样电流表才能正确指示蓄电池的充、放电电流值。(2)蓄电池的负极经电源总开关控制。当发电机转速很低,输出电压没有达到规定电压时,由蓄电池向发电机供给磁场电流。(二)起动系统线路启动系统由蓄电池、启动机、启动机继电器(部分东风EQ1090型汽车配装复合继电器)组成,系统线路如图。启动发动机时,将点火开关置于“启动”档位,启动继电器(或复合继电器)工作,接通起动机电磁开关电路,从而接通起动机与蓄电池之间得电路,蓄电池便向起动机供给400~600A大电流,起动机产生驱动转矩将发动机起动。发动机起动后,如果驾驶员没有及时松开点火开关,那么由于交流发电机电压升高,其中性点电压达5V时,在复合继电器的作用下,起动机的电磁开关将自动释放,切断蓄电池与起动电动机之间的电路,起动机便会自动停止工作。根据国家标准GB9420--88的规定,汽车用起动电动机电路的电压降(每百安的培的电压差)12V电器系统不得超过0.2V,24V电器系统不的超过 0.4V。因此,连接启动电动机与蓄电池之间的电缆必须使用具有足够横截面积的专用电缆并连接牢固,防止出现接触不良现象。(三)点火系统线路点火系统包括点火线圈、分电器、点火开关与电源。系统线路如图,其特点:(1)在低压电路中串有点火开关,用来接通与切断初级绕组电流;(2)点火线圈有两个低压接线端子,其中‘-’或‘1’端子应当连接分电器低压接线端子,“+”或“15”端子上连接有两根导线,其中来自起动机电磁开关的蓝色导线,(注:个别车型因出厂年代不同其导线颜色有可能不同)应当连接电磁开关的附加电阻短路开关端子“15a”;白色导线来自点火开关,该导线为附加电阻(电阻值为1.7欧姆左右)所以不能用普通导线代替。起动发动机时,初级电流并不经过白色导线,而是由蓄电池经起动电磁开关与蓝色导线直接流入点火线圈,使附加电阻线被短路,从而减小低压电路电阻,增大低压电流,保证发动机能顺利起动。(3)在高压电路中,由分电器至各火花塞的导线称为高压导线,连接时必须按照气缸点火顺序依次连接。(四)仪表系统线路仪表系统包括电流表、油压表、水温表、燃油表与之匹配的传感器,系统线路如图所示。其特点如下:(1)电流表串联在电源电路里,用来指示蓄电池充、放电电流的大小。其他几种仪表相互并联,并由点火开关控制。(2)水温表与燃油表共用一只电源稳压器,其目的是当电源电压波动时起到稳压仪表电源的作用,保证水温表与燃油表读数准确。电源稳压器的输出电压为8.64V+/-0.15V。报警装置有油压过低报警灯和气压过低蜂鸣器,分别由各自的报警开关控制。当机油压力低于50~90kpa时,油压过低报警开关触电闭合,油压过低指示灯电路接通而发亮,指示发动机主油道机油压力过低,应及时停车维修。东风EQ1090型汽车采用气压制动系统,当制动系统的气压下降到340~370kpa时,气压过低蜂鸣器鸣叫,以示警告。(五)照明与信号系统线路照明与信号系统包括全车所有照明灯、灯光信号与音响信号,系统线路如图所示。其特点如下:(1)前照灯为两灯制,并采用双丝灯泡;(2)前照灯外侧为前侧灯,采用单灯丝,其光轴与牵照灯光轴成20度夹角,即分别向左右偏斜20度。因此,在夜间行车时,如果前照灯与前侧灯同时点亮,那么汽车正前方与左右两侧的较大范围内都有较好的照明,即使在汽车急转弯时,也能照亮前方的路面,从而大大改善了汽车在弯道多、转弯急的道路上行驶时的照明条件;(3)前照灯、前下灯、前侧灯及尾灯均由手柄式车灯开关控制;(4)设有灯光保护线路;(5)制动信号灯不受车灯总开关控制,直接经熔断丝与电源连接,只要踩下制动踏板,制动邓开关就会接通制动灯电路使制动灯发亮;(6)转向信号灯受转向灯开关控制;(7)电喇叭由喇叭按钮和喇叭继电器控制

Micrologix1000 PLC在交通灯控制上的应用 PLC技术及其在公路交通系统中的应用 用PLC实现智能交通控制 1 引言 据不完全统计,目前我国城市里的十字路口交通系统大都采用定时来控制(不排除繁忙路段或高峰时段用交警来取代交通灯的情况),这样必然产生如下弊端:当某条路段的车流量很大时却要等待红灯,而此时另一条是空道或车流量相对少得多的道却长时间亮的是绿灯,这种多等少的尴尬现象是未对实际情况进行实时监控所造成的,不仅让司机乘客怨声载道,而且对人力和物力资源也是一种浪费。 智能控制交通系统是目前研究的方向,也已经取得不少成果,在少数几个先进国家已采用智能方式来控制交通信号,其中主要运用GPS全球定位系统等。出于便捷和效果的综合考虑,我们可用如下方案来控制交通路况:制作传感器探测车辆数量来控制交通灯的时长。具体如下:在入路口的各个方向附近的地下按要求埋设感应线圈,当汽车经过时就会产生涡流损耗,环状绝缘电线的电感开始减少,即可检测出汽车的通过,并将这一信号转换为标准脉冲信号作为可编程控制器的控制输入,并用PLC计数,按一定控制规律自动调节红绿灯的时长。 比较传统的定时交通灯控制与智能交通灯控制,可知后者的最大优点在于减缓滞流现象,也不会出现空道占时的情形,提高了公路交通通行率,较全球定位系统而言成本更低。

相关百科

热门百科

首页
发表服务