首页

> 期刊投稿知识库

首页 期刊投稿知识库 问题

家电灯光控制论文答辩问题

发布时间:

家电灯光控制论文答辩问题

首先,不要以为每个老师都能针对你研究的专业领域提出很专业的问题。提问往往都是大众化、很常规的问题。这种事情随机性很大,不过通常来说,老师们不会问太难的问题或者他们根本不熟悉的问题,有时候会先问些概念,所以一般来说要把一些概念性的东西先弄清楚;另外文章里如果有一些别出心裁、不太好理解的点,或者跳跃性比较大的点,也可能成为老师发问的地方。大部分答辩老师对你的论文方向往往也不熟悉,所以不要害怕;同时也可以问问你能联系到的学长们,当时他们答辩的时候都问了些什么。 记住一句话,如果你对你的文章足够熟悉,那么在答辩场上,老师是学生,学生是老师。唯一要当心的一点是,可能你的论文和个别老师的研究课题很接近,就是说你撞到枪口上了,这种你也可以答辩前先和这个老师沟通下,让他帮你提提意见。

1、论文中的核心概念是什么?用你自己的话高度概括。2、你选题的缘由是什么?研究具有何种现实指导意义?3、论文中的核心概念怎样在你的文中体现?4、从反面的角度去思考:如果不按照你说的那样去做,结果又会怎样?5、论文的理论基础与主体框架存在何种关联?最主要的理论基础是什么?

这篇论文作用和意义谈得多,但如何构建,构建中可能遇到什么问题,如何克服?论文没有涉及这些。

问你写这篇论文的思路,大概,总纲。还有就是会问一些细节的东西,不过都不会脱离你的论文话题。你可以把论文的概括和细节的部分看看就可以了。其实论文答辩很简单的,心态放平衡,不用太紧张的,加油哦!

智能家居灯光控制毕业论文

随着科技的发展及人们生活水平的不断提高,居住环境的改善备受关注,家庭生活中每个成员的舒适、安全与便利的需求越来越受到开发商的重视。智能家居是以住宅为平台,兼备建筑设备、网络通信、信息家电和设备自动化,集系统、结构、服务、管理为一体的智能化控制系统,该系统可满足并实现高效、舒适、安全、便利、环保的人文居住环境。随着家居智能化的快速兴起,现代家居中的监视、对讲、安防、管理及控制等更多地功能被集成应用,从而使得可视对讲、家庭安防到家居的灯光、电器的智能控制,子系统越来越多、线路日趋复杂。在满足不断增长的功能需求的同时,提高系统的集成度,进一步提升系统的性价比,使安装及维护工作更为简单化,并能保证很好的灵活性,是现代家居智能化的发展趋势。深圳市麦驰智能技术有限公司推出的“未来之家”家庭智能终端,它采用触摸屏,集成了可视对讲、访客图像拍照功能、更为强大的家庭安防、自动抄表功能,小区短信、物业报修等服务,适应了这一需求,麦驰智能家居系统特点:l 功能更为完整、系统集成度更高l 性价比优越l 分布式控制总线使系统扩展灵活、故障分散l 模块化结构设计能满足各类用户的需求l 语音提示使系统更具人性化l 五种操作方式使操作更为简单、快捷l 控制线路简单、施工成本低智能家居DIY方案:本项目为一套2室2厅,室内建筑格局为:客厅、餐厅、厨房、次卧、主卧、卫生间、阳台,如图1所示:针对业主对智能家居控制系统的实际需求,我们的设计充分考虑了照明、家电、电动窗帘、娱乐控制的一体化的体验,同时结合了家庭的安全性要求。该控制系统由系统终端主机、各种智能模块、传感设备、精密机械设备等组成。系统充分体系了以高科技打造舒适、安全、便捷的人居环境。系统原理图 如2所示:总体方案设计:1. 入口走廊及客厅:l 入口大门安装1对门磁探测器,玄关处墙上安装1台彩色可视“未来之家”终端设备。l 入口处安装3个3键智能灯光控制模块,分别控制走廊灯、客厅的电视墙射灯和吊顶灯调光和开/关客厅电动窗帘、阳台灯。l 客厅放置一个无线遥控器,可以实现对室内所有的灯光和家电进行控制。l 在电视、空调等设备容易接收到红外信号地方安装1个红外控制模块,红外模块和被控家电无阻挡物。l 客厅阳台入口安装1个红外探测器用于探测非法闯入者从阳台进入时,形成一道非法入侵报警防线。客厅沙发旁安装1个紧急求救按钮。按键控制:l 在出门前一键控制开关所有灯光、电器。l 情景模式:一键控制客厅灯、走道灯及辅助灯光设备亮度100%、窗帘打开无线控制:l 在客厅可以运用遥控器遥控家里所有的灯光和家电设备:例如,你也可以坐在沙发上,动动手指头,就可以轻松控制家里的灯光及控制各种场景。例如:影院模式:客厅灯光设备亮度调暗到30%。营造室内灯光舒适的氛围。“未来之家”智能终端控制l 当你在离家出门前,在彩色可视智能终端上设置“离家布防”让安防系统启用进入到布防状态,当主人离开房间布防延时结束后。家中所有的灯光和电器关闭、厨房机械手自动将煤气阀门关闭,家中所有的感应探测设备进入到警戒状态。l 你可以在智能终端触摸显示屏菜单中点击设备名称来开启灯光和电器。l 定时控制模式:可以按照生活起居习惯设定智能系统的状态。例:业主度假,每天一定时间规定区域灯按时开启按时熄灭。同时也可打开卫生间和厨房排气扇对室内空气换气。l 电话远程控制:在炎热的夏季或瑟瑟的冬天,你可以通过电话进行远程家电设备操作,在到家前提前将家中的空调打开。感应探测器联动灯光和家电控制:l 夜间回家推开家门时,玄关灯会以渐亮的方式自动打开。l 紧急情况下,例如安防系统探测到客厅红外或大门门磁入侵信号时,该设备可以自动拨出6个不同号码,然后按照预设的程序,通过发出报警或迅速开启相应灯光及窗帘,对入侵者起到阻吓的作用。2、餐厅及厨房l 餐厅安装1个3键智能灯光控制模块,控制餐厅灯具的调光和情景模式。l 厨房安装1个3键智能灯光控制模块,控制厨房灯具和排风抽烟机。l 厨房安装1个智能插座模块控制厨房家电。l 厨房安装1个煤气探测器和1个机械手。功能描述:l 就餐时,可以通过遥控器上的情景键或在餐厅的3键模块操作一个按键即可达到预先设定的就餐场景。l 厨房3键开关按钮可对厨房内的灯和排风扇、插座进行控制。l 通过电话远程打开厨房设备。例:电饭煲;l 通过智能终端机设定的定时控制模式,按照系统设定的时间自动打开排风扇对厨房排风换气。l 如厨房煤气泄漏达到一定浓度时,煤气探测器感输出报警信号,同时通过机械手自动将煤气管道阀门关闭。3、次卧l 次卧室内门口和床头各安装1个3键智能灯光控制模块,控制灯具的调光和开关、情景模式。l 安装1个紧急求救按钮功能描述:l 就寝模式:按下床头3键智能灯开关模块上的“关”按键,所有灯光关闭。l 起夜模式:半夜要夜起时,只要按一下床头3键灯光模块的一个按钮,通往洗手间的全部灯光会柔和的亮起,既方便你的行动又不用担心影响家人。l 紧急情况下,按下紧急按钮系统自动将报警信息输入,并自动拨出6个不同号码。4、主卧l 主卧室内门口和床头各安装1个3键智能灯光控制模块,控制灯具的调光和开关、情景模式。l 放置一个无线遥控器,可以实现对室内所有的灯光和家电进行控制。l 安装1个紧急求救按钮功能描述:l 就寝模式:按下遥控器上的起夜模式键或按下床头3键智能灯光模块一个按键,所有灯光关闭。l 起夜模式:当你半夜要夜起时,只要按一下遥控器上的“夜起”按钮,那么l 通往洗手间的灯光会柔和的亮起,你再也不用担心影响你的家人休息了。l 紧急情况下,按下紧急按钮系统自动将报警信息输出,并自动拨出6个不同号码。5、卫生间l 安装1个3键智能灯光控制模块,控制灯具的灯光和排气扇l 安装1个无线接收模块,接收无线遥控器灯光电器控制指令。功能描述:l 卫生间3键开关按钮可对卫生间内的灯和排风扇进行控制。l 通过智能终端机设定的定时控制模式,按照系统设定的时间自动打开排风扇对卫生间的异味排风换气。灯光电器多控制方式组合:1.按键控制:通过智能灯光控制模块的按键和智能插座上的按键可控制相应的灯光电器。2. 智能终端控制:通过智能终端的触摸屏可控制系统的各种灯光电器。3. 遥控器控制:通过遥控器可控制系统的各类灯光电器。4. 电话远程控制:通过电话实现远程灯光电器控制。若晚上家中无人时可通过电话打开家中的灯光电器,以使人有“家中有人”的感觉,具有隐性安防功能。5. 网络控制:可通过互联网进行控制(必须开通网络业务)。系统组成设备:n“未来之家”智能终端:安防报警、家电控制、可视对讲集成一体化机Ø 触摸屏及感应按键操作;Ø 功能完善,集成度高,综合成本低;Ø 一体化结构,整体美观,安装方便;Ø 控制方式多,人性化语音提示,操作方便;Ø 与红外模块联动,实现灯光智能化控制,实现“人来灯亮、人走灯熄”;Ø 编程方式多样,住户可通过“未来之家”智能终端随意设置不同的定时控制; n智能灯光控制模块 CR-Bus总线兼容可编程设备 按钮可用于开关控制、开关切换、调光和场景控制。 多种按键形式可供选择 输出具有淡入淡出功能技术参数: 工作电压:18VDC 工作电压:40mA—100mA 开关输出:250V/50Hz 调光回路:300w 开光回路:600w 调光级数:256 安装底盒尺寸:76mm×76mm×80mmn 智能插座模块Ø CR-Bus总线兼容可编程设备Ø 一个输入按钮可用于插座通断控制。技术参数:Ø 工作电压:18VDCØ 工作电压:40mA—70mAØ 输出电源:15An 红外自学习控制模块Ø CR-Bus总线兼容可编程设备Ø 具有自学习功能,能对空调、电视机、影碟机及电动窗帘等具有红外接口有设备进行控制技术参数:Ø 工作电压:18VDCØ 工作电流:32mAØ 载波频率:38kHz和 33kHz可切换Ø 通道数:3个Ø 通道一:可学习空调开、关、摆页、换气等四个动作。Ø 通道二:可学习电视机换台(+)、换台(-)、选台(+)、选台(-)、关、静音、TV/AV、直接选台等八个动作Ø 通道三:可学习影碟机的选歌、音量、关、播放等八个动作,或窗帘的外帘开关、内帘开关、停止、等八个动作Ø 遥控距离:≤7mØ 遥控夹角:≤120°Ø 安装底盒尺寸:76mm×76mm×65mmn 无线接收模块Ø CR-Bus总线兼容可编程设备Ø 无线控制无方向性技术参数:Ø 工作电压:18VDCØ 工作电流:46mAØ 室内最远接收距离:≤50mØ 可使用遥控器数量:5×8个Ø 载波频率:433MHzØ 安装底盒尺寸:76mm×76mm×65mmn 无线遥控器Ø 功能齐全、外形精巧、美观。Ø 集成了空调、电视、影碟及电动窗帘等电器的控制功能Ø 能实现多种场景模式的控制Ø 每户可使用5×8个遥控器

创导智能新生活—智能家居DIY方案随着科技的发展及人们生活水平的不断提高,居住环境的改善备受关注,家庭生活中每个成员的舒适、安全与便利的需求越来越受到开发商的重视。智能家居是以住宅为平台,兼备建筑设备、网络通信、信息家电和设备自动化,集系统、结构、服务、管理为一体的智能化控制系统,该系统可满足并实现高效、舒适、安全、便利、环保的人文居住环境。随着家居智能化的快速兴起,现代家居中的监视、对讲、安防、管理及控制等更多地功能被集成应用,从而使得可视对讲、家庭安防到家居的灯光、电器的智能控制,子系统越来越多、线路日趋复杂。在满足不断增长的功能需求的同时,提高系统的集成度,进一步提升系统的性价比,使安装及维护工作更为简单化,并能保证很好的灵活性,是现代家居智能化的发展趋势。深圳市麦驰智能技术有限公司推出的“未来之家”家庭智能终端,它采用触摸屏,集成了可视对讲、访客图像拍照功能、更为强大的家庭安防、自动抄表功能,小区短信、物业报修等服务,适应了这一需求,麦驰智能家居系统特点:l 功能更为完整、系统集成度更高l 性价比优越l 分布式控制总线使系统扩展灵活、故障分散l 模块化结构设计能满足各类用户的需求l 语音提示使系统更具人性化l 五种操作方式使操作更为简单、快捷l 控制线路简单、施工成本低智能家居DIY方案:本项目为一套2室2厅,室内建筑格局为:客厅、餐厅、厨房、次卧、主卧、卫生间、阳台,如图1所示:针对业主对智能家居控制系统的实际需求,我们的设计充分考虑了照明、家电、电动窗帘、娱乐控制的一体化的体验,同时结合了家庭的安全性要求。该控制系统由系统终端主机、各种智能模块、传感设备、精密机械设备等组成。系统充分体系了以高科技打造舒适、安全、便捷的人居环境。系统原理图 如2所示:总体方案设计:1. 入口走廊及客厅:l 入口大门安装1对门磁探测器,玄关处墙上安装1台彩色可视“未来之家”终端设备。l 入口处安装3个3键智能灯光控制模块,分别控制走廊灯、客厅的电视墙射灯和吊顶灯调光和开/关客厅电动窗帘、阳台灯。l 客厅放置一个无线遥控器,可以实现对室内所有的灯光和家电进行控制。l 在电视、空调等设备容易接收到红外信号地方安装1个红外控制模块,红外模块和被控家电无阻挡物。l 客厅阳台入口安装1个红外探测器用于探测非法闯入者从阳台进入时,形成一道非法入侵报警防线。客厅沙发旁安装1个紧急求救按钮。按键控制:l 在出门前一键控制开关所有灯光、电器。l 情景模式:一键控制客厅灯、走道灯及辅助灯光设备亮度100%、窗帘打开无线控制:l 在客厅可以运用遥控器遥控家里所有的灯光和家电设备:例如,你也可以坐在沙发上,动动手指头,就可以轻松控制家里的灯光及控制各种场景。例如:影院模式:客厅灯光设备亮度调暗到30%。营造室内灯光舒适的氛围。“未来之家”智能终端控制l 当你在离家出门前,在彩色可视智能终端上设置“离家布防”让安防系统启用进入到布防状态,当主人离开房间布防延时结束后。家中所有的灯光和电器关闭、厨房机械手自动将煤气阀门关闭,家中所有的感应探测设备进入到警戒状态。l 你可以在智能终端触摸显示屏菜单中点击设备名称来开启灯光和电器。l 定时控制模式:可以按照生活起居习惯设定智能系统的状态。例:业主度假,每天一定时间规定区域灯按时开启按时熄灭。同时也可打开卫生间和厨房排气扇对室内空气换气。l 电话远程控制:在炎热的夏季或瑟瑟的冬天,你可以通过电话进行远程家电设备操作,在到家前提前将家中的空调打开。感应探测器联动灯光和家电控制:l 夜间回家推开家门时,玄关灯会以渐亮的方式自动打开。l 紧急情况下,例如安防系统探测到客厅红外或大门门磁入侵信号时,该设备可以自动拨出6个不同号码,然后按照预设的程序,通过发出报警或迅速开启相应灯光及窗帘,对入侵者起到阻吓的作用。2、餐厅及厨房l 餐厅安装1个3键智能灯光控制模块,控制餐厅灯具的调光和情景模式。l 厨房安装1个3键智能灯光控制模块,控制厨房灯具和排风抽烟机。l 厨房安装1个智能插座模块控制厨房家电。l 厨房安装1个煤气探测器和1个机械手。功能描述:l 就餐时,可以通过遥控器上的情景键或在餐厅的3键模块操作一个按键即可达到预先设定的就餐场景。l 厨房3键开关按钮可对厨房内的灯和排风扇、插座进行控制。l 通过电话远程打开厨房设备。例:电饭煲;l 通过智能终端机设定的定时控制模式,按照系统设定的时间自动打开排风扇对厨房排风换气。l 如厨房煤气泄漏达到一定浓度时,煤气探测器感输出报警信号,同时通过机械手自动将煤气管道阀门关闭。3、次卧l 次卧室内门口和床头各安装1个3键智能灯光控制模块,控制灯具的调光和开关、情景模式。l 安装1个紧急求救按钮功能描述:l 就寝模式:按下床头3键智能灯开关模块上的“关”按键,所有灯光关闭。l 起夜模式:半夜要夜起时,只要按一下床头3键灯光模块的一个按钮,通往洗手间的全部灯光会柔和的亮起,既方便你的行动又不用担心影响家人。l 紧急情况下,按下紧急按钮系统自动将报警信息输入,并自动拨出6个不同号码。4、主卧l 主卧室内门口和床头各安装1个3键智能灯光控制模块,控制灯具的调光和开关、情景模式。l 放置一个无线遥控器,可以实现对室内所有的灯光和家电进行控制。l 安装1个紧急求救按钮功能描述:l 就寝模式:按下遥控器上的起夜模式键或按下床头3键智能灯光模块一个按键,所有灯光关闭。l 起夜模式:当你半夜要夜起时,只要按一下遥控器上的“夜起”按钮,那么l 通往洗手间的灯光会柔和的亮起,你再也不用担心影响你的家人休息了。l 紧急情况下,按下紧急按钮系统自动将报警信息输出,并自动拨出6个不同号码。5、卫生间l 安装1个3键智能灯光控制模块,控制灯具的灯光和排气扇l 安装1个无线接收模块,接收无线遥控器灯光电器控制指令。功能描述:l 卫生间3键开关按钮可对卫生间内的灯和排风扇进行控制。l 通过智能终端机设定的定时控制模式,按照系统设定的时间自动打开排风扇对卫生间的异味排风换气。灯光电器多控制方式组合:1.按键控制:通过智能灯光控制模块的按键和智能插座上的按键可控制相应的灯光电器。2. 智能终端控制:通过智能终端的触摸屏可控制系统的各种灯光电器。3. 遥控器控制:通过遥控器可控制系统的各类灯光电器。4. 电话远程控制:通过电话实现远程灯光电器控制。若晚上家中无人时可通过电话打开家中的灯光电器,以使人有“家中有人”的感觉,具有隐性安防功能。5. 网络控制:可通过互联网进行控制(必须开通网络业务)。系统组成设备:n“未来之家”智能终端:安防报警、家电控制、可视对讲集成一体化机Ø 触摸屏及感应按键操作;Ø 功能完善,集成度高,综合成本低;Ø 一体化结构,整体美观,安装方便;Ø 控制方式多,人性化语音提示,操作方便;Ø 与红外模块联动,实现灯光智能化控制,实现“人来灯亮、人走灯熄”;Ø 编程方式多样,住户可通过“未来之家”智能终端随意设置不同的定时控制; n智能灯光控制模块 CR-Bus总线兼容可编程设备 按钮可用于开关控制、开关切换、调光和场景控制。 多种按键形式可供选择 输出具有淡入淡出功能技术参数: 工作电压:18VDC 工作电压:40mA—100mA 开关输出:250V/50Hz 调光回路:300w 开光回路:600w 调光级数:256 安装底盒尺寸:76mm×76mm×80mmn 智能插座模块Ø CR-Bus总线兼容可编程设备Ø 一个输入按钮可用于插座通断控制。技术参数:Ø 工作电压:18VDCØ 工作电压:40mA—70mAØ 输出电源:15An 红外自学习控制模块Ø CR-Bus总线兼容可编程设备Ø 具有自学习功能,能对空调、电视机、影碟机及电动窗帘等具有红外接口有设备进行控制技术参数:Ø 工作电压:18VDCØ 工作电流:32mAØ 载波频率:38kHz和 33kHz可切换Ø 通道数:3个Ø 通道一:可学习空调开、关、摆页、换气等四个动作。Ø 通道二:可学习电视机换台(+)、换台(-)、选台(+)、选台(-)、关、静音、TV/AV、直接选台等八个动作Ø 通道三:可学习影碟机的选歌、音量、关、播放等八个动作,或窗帘的外帘开关、内帘开关、停止、等八个动作Ø 遥控距离:≤7mØ 遥控夹角:≤120°Ø 安装底盒尺寸:76mm×76mm×65mmn 无线接收模块Ø CR-Bus总线兼容可编程设备Ø 无线控制无方向性技术参数:Ø 工作电压:18VDCØ 工作电流:46mAØ 室内最远接收距离:≤50mØ 可使用遥控器数量:5×8个Ø 载波频率:433MHzØ 安装底盒尺寸:76mm×76mm×65mmn 无线遥控器Ø 功能齐全、外形精巧、美观。Ø 集成了空调、电视、影碟及电动窗帘等电器的控制功能Ø 能实现多种场景模式的控制Ø 每户可使用5×8个遥控器

到百度文库找相关资料,然后整理下

智能家居控制系统的设计与实现 [2009-03-18 06:41] ;;; 摘要:介绍了以PC(个人计算机)、MCU(单片机AT89C52)、双音多频编解码集成电路MT8880C、语音录放芯片ISD4004和无线数据收发芯片nRF401为核心,通过现有的电话网络终端或者互联网网络终端实现远程控制的智能家居系统,给出了该系统的电路原理和办硬件设计与实现方法。;;; 关键词:远程控制 双音多频 网络通讯 无线通讯 家庭自动化21世纪是信息化的世纪,各种电信和互联网新技术推动了人类文明的巨大进步。数字化家居控制系统的出现使得人们可以通过手机或者互联网在任何时候、任意地点对家中的任意电器(空调、热水器、电饭煲、灯光、音响、DVD录像机)进行远程控制;也可以在下班途中,预先将家中的空调打开、让热水器提前烧好热水、电饭煲煮好香喷喷的米饭……;而这一切的实现都仅仅是轻轻的点几下鼠标,或者打一个简单的电话。此外,该系统还可使家庭具有多途径报警、远程监听、数字留言等多种功能,如果不幸出现某种险情,您和110可以在第一时间获得通知以便进一步采取行动。舒适、时尚的家居生活是进步的标志,智能家居系统能够在不改变家中任何家电的情况下,对家里的电器、灯光、电源、家庭进行方便地控制,使人们尽享高科技带来的简便而时尚的现代生活。1系统的总体结构及工作过程智能家居系统由系统主机、系统分机、Internet服务器和网络接口等部分组成。其中系统主机通过服务器(个人)连入Internet,并通过自己的PSTN�公用电话交换网接口电路连入PSTN。其结构图如图1所示。主机与分机通过无线传输组成星形拓扑结构。系统主机通过本地无线传输网络同系统分机进行通讯、传输控制命令和反馈信息。该系统正常工作时,用户可以通过screen.width-400)this.style.width=screen.width-400;>Internet和PSTN两种网络进行访问,当通过Internet访问时,本系统可提供一个界面友好的终端软件,用户只需登陆到运行在家中的服务器即可对家中的设备进行远程控制;当通过PSTN访问时,本系统将为用户提供语音操作界面。其工作流程如图2所示。2系统的硬件构成本系统的硬件主要有系统主机与系统分机两大部分。系统主机由单片机AT89C52和各种接口电路组成,如图3所示。系统分机由单片机AT89C52和各种接口电路、传感器单元电路、固态继电器控制电路组成,并由固态继电器控制具体设备,具体硬件组成框图如图4所示。通过系统主机的各种接口电路可将主机CPU从繁忙的计算中解脱出来,以便把主要精力运用在控制和信息传递上。系统主机主要依照各个功能电路的输出结果进行判断和控制命令的输出。系统分机的各种接口电路和主机相似,只是根据设备的不同(传感器单元)有着细节上的变化。下面主要介绍系统主机的各种接口电路。2.1 nRF401 无线数据传输电路无线数据传输电路由Nordic公司的单片UHF无线数据收发芯片nRF401及其外围电路构成。nRF401采用FSK调制解调技术,其工作效率可达20kbit/s,且有两个频率通道供选择,并且支持低功耗和待机模式。它不用对数据进行曼彻斯特编码,其天线接口设计为差分天线,因而很容易用PCB来实现。screen.width-400)this.style.width=screen.width-400;>;;; 2.2 看门狗电路看门狗电路由MAX813L及其外围元件组成。通常,在单片机的工作现场,可能有各种干扰源。这些干扰源可能导致程序跑飞、造成死机或者程序不能正常运行。如果不及时恢复或使系统复位,就容易造成损失。看门狗电路的作用就是在程序跑飞或者死机时,能有效地使系统复位以使系统恢复正常运转。因此,在程序中定期给P1.5送入看门狗信号,就可以保证在程序运行异常时,由MAX813L使单片机复位。2.3 DS1307时钟接口电路DS1307时钟芯片是美国DALLAS公司生产的I2C总线接口实时时钟芯片。DS1307可以独立于CPU工作,它不受晶振和电容等的影响,并且计时准确,月积累误差一般小于10秒。此芯片还具有掉电时钟保护功能,可自动切换到后备电源供电。同时还具有闰年自动调整功能,可以产生秒、分、时、日、月、年等数据,并将其保存在具有掉电保护功能的时间寄存器内,以便CPU根据需要对其进行读出或写入。由于单片机AT89C52没有I2C总线接口,因此,要驱动DS1307,就必须采用单主机方式下的I2C总线虚拟技术。在此方式下,以单片机为主节点(主器件),主器件永远占有总线而不出现总线竞争,且可以用两根I/O口线来虚拟I2C总线接口。I2C总线上的主器件(单片机)可在时钟线(SDL)上产生时钟脉冲,在数据线(SDA)上产生寻址信号、开始条件、停止条件以及建立数据传输的器件。任何被选中的器件都将被主器件看成是从器件。在这里,DS1307作为I2C总线的从器件。I2C总线为同步串行数据传输总线,其内部为双向传输电路,端口输出为开漏结构,因此,需加上拉电阻。2.4 MT8880C双音频编解码电路由于单片机是通过MT8880C芯片得到PSTN网络的双音频信号解码输出,也就是说,单片机可以识别来自PSTN网络的控制信号,用户可以根据系统的语音提示进行按键选择以实现用户身份的识别与远程控制。因此,利用MT8880C的双音频编码功能,系统可以在紧急时刻将用户预置的紧急电话打到PSTN网络,从而把损失减少到最低。2.5 ISD4004语音录放电路ISD4004是美国ISD公司生产的一种语音录放芯片。它可录制8~16分钟的语音信号。该芯片可提供SPI标准接口和单片机进行接口,其语音的录放控制均通过单片机来实现。该芯片的一个最大特点是可以按地址编程录放,因而可由ISD4004和单片机编程控制来构系统与PSTN网络用户的语音平台。由于ISD4004的INT和RAC脚输出为开漏结构,因此需要加上拉电阻。screen.width-400)this.style.width=screen.width-400;>2.6 MAX202串行通讯电路通讯电路可由串行通讯专用芯片MAX202组成,通过此电路可以方便地与PC机进行串行通讯。2.7 铃流检测与摘挂机控制电路当系统被呼叫时,电话交换机发出铃流信号。振铃为25±3V的正弦波,失真小于10%,电压有效值为90±15V。振铃信号以5秒为周期,即1秒送,4秒断。由于振铃信号电压比较高,所以先要通过高压稳压二极管进行降压,然后输入至光耦。再经光耦隔离转换后,从光耦输出时通时断的正弦波,最后经RC回路进行滤波以输出标准的方波。该方波信号可以直接输出至单片机的定时器1进行计数,以实现对铃流的检测。由于程控电话交换机在电话摘机时电话线回路电流会突然变大(约30mA),因此,交换机检测到回路电流变大就认为电话机已经摘机。自动摘挂机电路可以通过单片机的P1.7来控制一个固态继电器,固态继电器的控制端应连接一个大约300Ω的电阻后再接入电话线两端,从而完成模拟摘挂机。3系统软件编制本系统软件主要由系统主机和系统分机的C51程序和系统与Internet网络通讯程序组成。3.1 系统主机程序的编制系统主机程序主要用于实现系统的总体功能。包括无线数据传输程序、看门狗程序、时间戳程序、双音频编解码程序、语音录放程序、串行通讯程序、铃流检测与摘挂机控制程序、系统初始化程序、意外事件处理程序等。程序编制以消息驱动为主导思想。消息由计数器中断1、外部中断0和串行中断产生,在中断服务程序中,应将相应的状态位置位,而在消息循环中则应按相应的状态位调用功能函数,然后由功能函数将相应的状态位清0并完成所需功能,并最后返回到消息循环中。其程序流程如图5所示。该系统的分机程序和主机类似,故此不再详述。screen.width-400)this.style.width=screen.width-400;>3.2 系统与Internet网络通讯程序的编制这部分通讯程序分为服务器和客户端两个程序,主要通过Internet网络完成用户的控制功能。服务器程序主要完成客户端与系统主机通讯的中转,即将客户端发来的控制或者查询命令成系统主机能识别的格式,或者将系统主机收到的报警等信息上传到客户端。服务器程序使用Socket与客户端进行Internet通讯。客户端程序是运行在远端用户的控制界面,主要用于完成家居内状态的显示以及对家居内电器的远程控制,同时使客户端直接连接到服务器。4结论本系统充分利用了现有的网络资源。通过在实际电话网络和Internet网络中的试运行证明:该系统能够达到设计初期的各项要求。相信将在信息家电、智能小区等方面得到广泛应用。

电动汽车灯光控制系统毕业论文

交通灯智能控制系统设计1.概述 当前,在世界范围内,一个以微电子技术,计算机和通信技术为先导的,以信息技术和信息产业为中心的信息革命方兴未艾。而计算机技术怎样与实际应用更有效的结合并有效的发挥其作用是科学界最热门的话题,也是当今计算机应用中空前活跃的领域。本文主要从单片机的应用上来实现十字路口交通灯智能化的管理,用以控制过往车辆的正常运作。2.过程分析 图1是一个十字路口示意图。分别用1、2、3、4表明四个流向的主车道,用A、B、C、P分别表示各主车道的左行车道、直行车道、右行车道以及人行道。用a、b、c、p分别表示左转、直行、右转和人行道的交通信号灯,如图2所示。交通灯闪亮的过程:路口1的车直行时的所有指示灯情况为:3a3b2p绿3c红+4a4b4c 3p全红+1c 绿1a1b4p红+2c绿2a2b1p红路口2的车直行时的所有指示灯情况为:4a4b3p绿4c红+ 1a1b1c 4p全红+ 2c绿2a2b1p红+3c绿3a3b2p红故路口3的车直行时的所有指示灯情况为:1a1b4p绿1c红+ 2a2b2c 1p全红+3c绿 3a3b2p红+4c 绿4a4b3p红故路口4的车直行时的所有指示灯情况为:2a2b1p绿2c红+3c3a3b2p全红+4c绿4a4b3p红+1c绿1a1b4p红 图1:十字路口交通示意图 图2:十字路口通行顺序示意图 图3:十字路口交通指示灯示意图 图4:交通灯控制系统硬件框图 3、硬件设计 本系统硬件上采用AT89C52单片机和可编程并行接口芯片8155,分别控制图2所示的四个组合。AT89C52单片机具有MCS-51内核,片内有8KB Flash、256字节RAM、6个中断源、1个串行口、最高工作频率可达24MHz,完全可以满足本系统的需要 ;与其他控制方法相比,所用器件可以说是比较简单经济的。硬件框图如下: 电路原理图 [PDF]4、软件流程图 图5:交通灯控制系统流程图 5、交通灯控制系统软件 ORG 0000H LJMP MAIN ORG 0100HMAIN: MOV SP,#60H; LCALL DIR ;调用日期、时间显示子程序LOOP: MOV P1,#0FFH LJMP TEST LCALL ROAD1 ;路口1的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 MOV P1,#0FFH ;恢复P1口高电平 LCALL RESET ;恢复8155各口为高电平 LCALL YELLOW1 ;路口1的车直行-->路口2的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 LCALL RESET ;恢复8155各口为高电平 MOV P1,#0FFH ;恢复P1口 LCALL ROAD2 ;路口2的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 LCALL RESET ;恢复8155A 、B口为高电? MOV P1,#0FFH ;恢复P1口高电平 LCALL YELLOW2 ;路口2的车直行-->路口3的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 LCALL RESET ;恢复8155A 、B口为高电? MOV P1,#0FFH ;恢复P1口高电平 LCALL ROAD3 ;路口3的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 LCALL RESET ;恢复8155A 、B口为高电? MOV P1,#0FFH ;恢复P1口高电平 LCALL YELLOW3 ;路口3的车直行-->路口4的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 LCALL RESET ;恢复8155各口为高电平 MOV P1,#0FFH ;恢复P1口高电平 LJMP TEST LCALL ROAD4 ;路口4的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 SETB P1.5 ;恢复P1.5高电平 SETB P1.4 ;恢复P1.4高电平 MOV DPTR,#0FFFFH ;恢复8155各口为高电平 LCALL YELLOW4 ;路口4的车直行-->路口1的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 SETB P1.6 ;恢复P1.6高电平 SETB P1.3 ;恢复P1.3高电平 MOV DPTR,#0FFFFH ;恢复8155各口为高电平 LJMP LOOP;路口1的车直行时各路口灯亮情况3a3b2p绿3c红+4a4b4c3p全红+1c绿1a1b4p红+2c绿2a2b1p红ROAD1: MOV DPTR,#7F00H ;置8155命令口地址;无关位为1) MOV A,#03H ;A口、B口输出,A口、B口为基本输入输出方式 MOVX @DPTR,A ;写入工作方式控制字 INC DPTR ;指向A口 MOV A,#79H ;1a1b4p红1c绿2a2b1p红 MOVX @DPTR,A INC DPTR ;指向B口 MOV A,#0E6H ;3a3b2p绿3c红4a4b3p红 MOVX @DPTR,A MOV P1,#0DEH ;4c红2c绿 RET 6、结语 本系统结构简单,操作方便;可现自动控制,具有一定的智能性;对优化城市交通具有一定的意义。本设计将各任务进行细分包装,使各任务保持相对独立;能有效改善程序结构,便于模块化处理,使程序的可读性、可维护性和可移植性都得到进一步的提高。6、参考资料 [1]韩太林,李红,于林韬;单片机原理及应用(第3版)。电子工业出版社,2005 [2]刘乐善,欧阳星明,刘学清;微型计算机接口技术及应用。华中理工大学出版社,2003 [3]胡汉才;单片机原理及其接口技术。清华大学出版社,2000 返回首页关闭本窗口

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ieee.std_logic_1164.all;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use ieee.std_logic_1164.all使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月2009.12.27library ieee;use ieee.std_logic_1164.all;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ieee.std_logic_1164.all;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

第一部分摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。关键词:电路 单行线制 系统 导线 各种车灯目录:(1)全车线路的连接原则(2)识读电路图的基本要求(3)以东风EQ1090型载货汽车线路为例全车线路的认读a.电源系统线b.起动系统线路c.点火系统线路d.仪表系统线路e.照明与信号系统线路(4)全车电路的导线(5)识读图注意事项论汽车电路的识读方法在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。灯光照明电路是指控制组合开关、前大灯和小灯的电路系统;信号电路是指控制组合开关、转弯灯和报警灯的电路系统;仪表电路是指点火开关、仪表板和传感器电路系统;启动电路是指点火开关、继电器、起动机电路系统;充电电路是指调节器、发电机和蓄电池电路系统。以上电路系统是必不可少的,构成全车电路的基本部分。辅助电路是指控制雨刮器、音响等电路系统。随着汽车用电装备的增加,例如电动座椅、电动门窗、电动天窗等,各种辅助电路将越来越多。旧式汽车电路比较简单,一般情况下,它们的正极线(俗称火线)分别与保险丝盒相接,负极线(俗称地线)共用,重要节点有三个,保险丝盒、继电器和组合开关,绝大部分电路系统的一端接保险丝或开关,另一端联接继电器或用电设备。但在现代汽车的用电装置越来越多的情况下,线束将会越来越多,布线将会越来越复杂。随着汽车电子技术的发展,现代汽车电路已经与电子技术相结合,采用共用多路控制装置,而不是象旧式汽车那样通过单独的导线来传送。使用多路控制装置,各用电负载发送的输入信号通过电控单元(ECU)转换成数字信号,数字信号从发送装置传输到接收装置,在接收装置转换成所需信号对有关元件进行控制。这样就需在保险丝、开关和用电设备之间的电路上添加一个多路控制装置(参阅广州雅阁后雾灯线路简图)。采用多路控制线路系统可。第二部分第二部分简要介绍了全车线路识读的原则、要求与方法以及电路用线的规格。主要针对其在东风EQ1090车型汽车电路与电器系统应用情况作了概括性的阐述。其包括了电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等主要部分进行了说明。通过对东风EQ1090车型的系统学习,为以后接触到各类不同车型打下个坚实的基础。一、全车线路的连接原则全车线路按车辆结构形式、电器设备数量、安装位置、接线方法不同而各有不同,但其线路一般都以下几条原则:(1)汽车上各种电器设备的连接大多数都采用单线制;(2)汽车上装备的两个电源(发电机与蓄电池)必须并联连接;(3)各种用电设备采用并联连接,并由各自的开关控制;(4)电流表必须能够检测蓄电池充、放电电流的大小。因此,凡是蓄电池供电时,电流都要经过电流表与蓄电池构成的回路。但是,对于用电量大且工作时间较短的起动机电流则例外,即启动电流不经过电流表;(5)各型汽车均陪装保险装置,用以防止发生短路而烧坏用电设备。了解上面的原则,对分析研究各种车型的电器线路以及正确判断电器故障很有帮助。二、基本要求一般来讲全车电路有三种形式,即:线路图、原理图、线束图。(一)、识读电路图的基本要求了解全车电路,首先要识读该车的线路图,因为线路图上的电器是用图形符号以及外形表示的,容易识别。此外,线路图上的电器设备的位置与实际车上的位置是对应的,容易认清主要设备在车上的实际位置,同时,也可对设备的功能获得感性认识。识读电路图时,应按照用电设备的功用,识别主要用电设备的相对分布位置;识别用电设备的连接关系,初步了解单元回路的构成;了解导线的类型以及电流的走向。(二)、识读原理图的基本要求原理图是一图形符号方式,把全车用电设备、控制器、电源等按照一定顺序连接而成的。它的特点是将各单元回路依次排列,便于从原理上分析和认识汽车电路。识读原理图时,应了解全车电路的组成,找出各单元回路的电流通路,分析回路的工作过程。(三)、识读线束图的基本要求线束图是用来说明导线在车辆上安装的指导图。图上每根导线所注名的颜色与标号就是实际车上导线的颜色和到端子的所印数字。按次数字将导线接在指定的相关电器设备的接线柱上,就完成了连接任务。即使不懂原理,也可以按次接线。总上所述,掌握汽车全车线路(总线路),应按以下步骤进行:(1)对该车所使用的电气设备结构、原理有一定了解,知道他的规格。(2)认真识读电路图,达到了解全车所使用电气设备的名称、数量和实际安排位置;设备所用的接线柱数量、名称等。(3)识读原理图应了解主要电气设备的各接线柱和那些电器设备的接线柱相连;该设备分线走向;分线上开关、熔断器、继电器的作用;控制方式与过程。(4)识读线束图应了解该车有多少线束,各线束名称及在车上的安装位置;每一束的分支同向哪个电器设备,每分支又有几根导线及他们的颜色与标号,连接在那些接线柱上;该车有那些插接器以及他们之间的连接情况。(5)抓住典型电路,触类旁通。汽车电路中有许多部分是类似的,都是性质相同的基本回路,不同的只是个别情形。三、全车线路的认读下面以东风EQ1090型载货汽车线路为例,分析说明各电子系统电路的特点。东风EQ1090型载货汽车全车线路主要由电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等组成。(一)电源系统线路电源系统包括蓄电池、交流发电机以及调节器,东风EQ1090汽车配装电子式电压调节器,电源线路如图。其特点如下:(1)发电机与蓄电池并联,蓄电池的充放电电流由电流表指示。接线时应注意电流表的-端接蓄电池正极,电流表的+端与交流发电机‘电枢’接线柱A或B连接,用电设备的电流也由电流表+端引出,这样电流表才能正确指示蓄电池的充、放电电流值。(2)蓄电池的负极经电源总开关控制。当发电机转速很低,输出电压没有达到规定电压时,由蓄电池向发电机供给磁场电流。(二)起动系统线路启动系统由蓄电池、启动机、启动机继电器(部分东风EQ1090型汽车配装复合继电器)组成,系统线路如图。启动发动机时,将点火开关置于“启动”档位,启动继电器(或复合继电器)工作,接通起动机电磁开关电路,从而接通起动机与蓄电池之间得电路,蓄电池便向起动机供给400~600A大电流,起动机产生驱动转矩将发动机起动。发动机起动后,如果驾驶员没有及时松开点火开关,那么由于交流发电机电压升高,其中性点电压达5V时,在复合继电器的作用下,起动机的电磁开关将自动释放,切断蓄电池与起动电动机之间的电路,起动机便会自动停止工作。根据国家标准GB9420--88的规定,汽车用起动电动机电路的电压降(每百安的培的电压差)12V电器系统不得超过0.2V,24V电器系统不的超过 0.4V。因此,连接启动电动机与蓄电池之间的电缆必须使用具有足够横截面积的专用电缆并连接牢固,防止出现接触不良现象。(三)点火系统线路点火系统包括点火线圈、分电器、点火开关与电源。系统线路如图,其特点:(1)在低压电路中串有点火开关,用来接通与切断初级绕组电流;(2)点火线圈有两个低压接线端子,其中‘-’或‘1’端子应当连接分电器低压接线端子,“+”或“15”端子上连接有两根导线,其中来自起动机电磁开关的蓝色导线,(注:个别车型因出厂年代不同其导线颜色有可能不同)应当连接电磁开关的附加电阻短路开关端子“15a”;白色导线来自点火开关,该导线为附加电阻(电阻值为1.7欧姆左右)所以不能用普通导线代替。起动发动机时,初级电流并不经过白色导线,而是由蓄电池经起动电磁开关与蓝色导线直接流入点火线圈,使附加电阻线被短路,从而减小低压电路电阻,增大低压电流,保证发动机能顺利起动。(3)在高压电路中,由分电器至各火花塞的导线称为高压导线,连接时必须按照气缸点火顺序依次连接。(四)仪表系统线路仪表系统包括电流表、油压表、水温表、燃油表与之匹配的传感器,系统线路如图所示。其特点如下:(1)电流表串联在电源电路里,用来指示蓄电池充、放电电流的大小。其他几种仪表相互并联,并由点火开关控制。(2)水温表与燃油表共用一只电源稳压器,其目的是当电源电压波动时起到稳压仪表电源的作用,保证水温表与燃油表读数准确。电源稳压器的输出电压为8.64V+/-0.15V。报警装置有油压过低报警灯和气压过低蜂鸣器,分别由各自的报警开关控制。当机油压力低于50~90kpa时,油压过低报警开关触电闭合,油压过低指示灯电路接通而发亮,指示发动机主油道机油压力过低,应及时停车维修。东风EQ1090型汽车采用气压制动系统,当制动系统的气压下降到340~370kpa时,气压过低蜂鸣器鸣叫,以示警告。(五)照明与信号系统线路照明与信号系统包括全车所有照明灯、灯光信号与音响信号,系统线路如图所示。其特点如下:(1)前照灯为两灯制,并采用双丝灯泡;(2)前照灯外侧为前侧灯,采用单灯丝,其光轴与牵照灯光轴成20度夹角,即分别向左右偏斜20度。因此,在夜间行车时,如果前照灯与前侧灯同时点亮,那么汽车正前方与左右两侧的较大范围内都有较好的照明,即使在汽车急转弯时,也能照亮前方的路面,从而大大改善了汽车在弯道多、转弯急的道路上行驶时的照明条件;(3)前照灯、前下灯、前侧灯及尾灯均由手柄式车灯开关控制;(4)设有灯光保护线路;(5)制动信号灯不受车灯总开关控制,直接经熔断丝与电源连接,只要踩下制动踏板,制动邓开关就会接通制动灯电路使制动灯发亮;(6)转向信号灯受转向灯开关控制;(7)电喇叭由喇叭按钮和喇叭继电器控制

Micrologix1000 PLC在交通灯控制上的应用 PLC技术及其在公路交通系统中的应用 用PLC实现智能交通控制 1 引言 据不完全统计,目前我国城市里的十字路口交通系统大都采用定时来控制(不排除繁忙路段或高峰时段用交警来取代交通灯的情况),这样必然产生如下弊端:当某条路段的车流量很大时却要等待红灯,而此时另一条是空道或车流量相对少得多的道却长时间亮的是绿灯,这种多等少的尴尬现象是未对实际情况进行实时监控所造成的,不仅让司机乘客怨声载道,而且对人力和物力资源也是一种浪费。 智能控制交通系统是目前研究的方向,也已经取得不少成果,在少数几个先进国家已采用智能方式来控制交通信号,其中主要运用GPS全球定位系统等。出于便捷和效果的综合考虑,我们可用如下方案来控制交通路况:制作传感器探测车辆数量来控制交通灯的时长。具体如下:在入路口的各个方向附近的地下按要求埋设感应线圈,当汽车经过时就会产生涡流损耗,环状绝缘电线的电感开始减少,即可检测出汽车的通过,并将这一信号转换为标准脉冲信号作为可编程控制器的控制输入,并用PLC计数,按一定控制规律自动调节红绿灯的时长。 比较传统的定时交通灯控制与智能交通灯控制,可知后者的最大优点在于减缓滞流现象,也不会出现空道占时的情形,提高了公路交通通行率,较全球定位系统而言成本更低。

汽车尾灯控制电路设计论文答辩

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ieee.std_logic_1164.all;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use ieee.std_logic_1164.all使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月2009.12.27library ieee;use ieee.std_logic_1164.all;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ieee.std_logic_1164.all;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

一 汽车尾灯控制电路设计内容及要求(一) 设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时只是灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1—R1R2—R1R2R3—全灭—R1)时间间隔0.5S(采用一个2Hz的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1—L1L2—L1L2L3—全灭—L1);在临时刹车或者检查尾灯是否正常时,所有指示灯同时点亮(R1R2R3L1L2L3点亮);当汽车后退的时候所有尾灯循环点亮,当晚上行车的时候汽车尾灯最下一个灯一直点亮。 (二) 设计要求1 汽车尾灯的控制电路的设计要求 汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 (1)当接通右转电键时,右侧的3个汽车尾灯(用发光二极管模拟)按照右循环的顺序依次点亮。 (2)当接通左转电键时,左侧的3个汽车尾灯按照左循环的顺序依次点亮。 (3)当接通刹车电键时,汽车所有的尾灯同时闪烁。 (4)当接通检查电键时,汽车所有的尾灯点亮。 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高点评时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示,汽车尾灯控制电路设计总体框图如图1所示。表1 汽车尾灯和汽车运行状态表图1 汽车尾灯控制电路设计总体框图二 电路的工作原理 经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分: 首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。 3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。三 系统方案的选择 在设计本电路时,一共考虑过二种方案。这二种方案的不同点在于产生001、010、100三种信号的方法不同。下面简单的介绍一下这二种方案: (一)方案一:该方案通过74LS160计数器构成能产生01、10、11三种状态循环的信号,然后再通过逻辑电路将其转换成所需的001、010、100三种左转或右转的信号。 设:74LS160输出的两位信号从高位到低位分别是B A,输出信号为Z Y X。 则 经过 的逻辑运算便可实现所需的功能。 电路图如下图2 尾灯在闪烁时会出现不自然 但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。 (二)方案二:该方案是由555脉冲电路产生频率为1Hz的脉冲信号来驱动74LS160S组成的计数器电路,由开关电路来控制74LS138组成的译码电路,由计数电路和译码电路一起控制显示驱动电路,从而控制尾灯的亮灭,555定时器产生的信号可以使尾灯快速闪亮,通过D触发器产生001、010、100的三种状态信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。 方案二是本次设计中使用的方案,该方案的详细设计将在下面详细叙述。

论文常被用来进行科学研究和描述科研成果的文章。它既是探讨问题进行科学研究的一种手段,又是描述科研成果进行学术交流的一种工具。论文格式封面论文常指用来进行科学研究和描述科研成果的文章。它既是探讨问题进行科学研究的一种手段,又是描述科研成果进行学术交流的一种工具。它包括学年论文、毕业论文、学位论文、科技论文、成果论文等,总称为论文[1]。论文格式就是指进行论文写作时的样式要求,以及写作标准。直观的说,论文格式就是论文达到可公之于众的标准样式和内容要求。结构论文一般由题名、作者、摘要、关键词、正文、参考文献和附录等部分组成,其中部分组成(例如附录)可有可无。论文各组成的排序为:题名、作者、摘要、关键词、英文题名、英文摘要、英文关键词、正文、参考文献、附录和致谢[2]。题目1.题名规范题名应简明、具体、确切,能概括论文的特定内容,有助于选定关键词,符合编制题录、索引和检索的有关原则。2.命题方式简明扼要,提纲挈领。3.英文题名方法①英文题名以短语为主要形式,尤以名词短语最常见,即题名基本上由一个或几个名词加上其前置和(或)后置定语构成;短语型题名要确定好中心词,再进行前后修饰。各个词的顺序很重要,词序不当,会导致表达不准。②一般不要用陈述句,因为题名主要起标示作用,而陈述句容易使题名具有判断式的语义,且不够精炼和醒目。少数情况(评述性、综述性和驳斥性)下可以用疑问句做题名,因为疑问句有探讨性语气,易引起读者兴趣。③同一篇论文的英文题名与中文题名内容上应一致,但不等于说词语要一一对应。在许多情况下,个别非实质性的词可以省略或变动。④国外科技期刊一般对题名字数有所限制,有的规定题名不超过2行,每行不超过42个印刷符号和空格;有的要求题名不超过14个词。这些规定可供我们参考。⑤在论文的英文题名中。凡可用可不用的冠词均不用。摘要摘要是文章主要内容的摘录,要求短、精、完整。字数少可几十字,多不超过三百字为宜[3]。摘要的规范摘要是对论文的内容不加注释和评论的简短陈述,要求扼要地说明研究工作的目的、研究方法和最终结论等,重点是结论,是一篇具有独立性和完整性的短文,可以引用、推广。关键词关键词是从论文的题名、提要和正文中选取出来的,是对表述论文的中心内容有实质意义的词汇。关键词是用作计算机系统标引论文内容特征的词语,便于信息系统汇集,以供读者检索。每篇论文一般选取3-8个词汇作为关键词,另起一行,排在“提要”的左下方。主题词是经过规范化的词,在确定主题词时,要对论文进行主题分析,依照标引和组配规则转换成主题词表中的规范词语。(参见《汉语主题词表》和《世界汉语主题词表》)。

plc彩灯控制器论文答辩

这个题目不应该很难的。很多书上都有关于这个题目的内容。综合一下,画出顺序功能图,然后再逐步调试。最好手里有个三菱的FX1S系列的PLC,这样能随时验证正确与否。自己独立完成吧,相信你能完成的很好!

苏 州 市 职 业 大 学课程设计说明书名称 彩灯循环点亮的PLC控制07年6月25日至07年6月29日共1周院 系 计算机工程系班 级 04计算机—机电姓 名 孙言江系 主 任 宣仲良教研室主任 刘文芝指导教师 严俊高目录一、概述 6二、硬件设计要求 61、控制要求 .62、系统设计流程示意图 .63、I/O分配 .64、I/O接线图 .8三、软件设计要求 81、系统设计梯形图 .82、系统设计指令表 .10四、系统调试 10硬件调试 .10软件调试 .10运行调试 .11五、设计心得: 11六、参考文献 11一、概述:随着微处理器、计算机和数字通信技术的飞速发展,计算机控制已扩展到所有的控制领域。现代社会要求制造业对市场需求迅速的反应,生产出小批量、多品种、多规格、低成本和高质量的产品。为了满足这一需求,生产设备的控制系统必须具有极高的灵活性和可靠性,可编程控制器就顺应而生。利用PLC可编程控制器,三菱FX2N-48MR可编程控制器进行彩灯循环点亮的PLC控制的编程。二、硬件设计要求:1、控制要求为:三盏彩灯HL1、HL2、HL3、HL4、HL5、HL6、HL7,按下启动按钮后HL1、HL2、HL3亮,1S后HL1灭HL2、HL3、HL4亮,1S后HL2灭HL3、HL4、HL5亮,1S后HL3灭HL4、HL5、HL6亮,1S后HL4灭HL5、HL6、HL7亮,1S后HL1、HL2、HL3、HL4全亮,1S后HL1、HL2、HL3、HL4、HL5、HL6、HL7全灭, 1S后HL1、HL2、HL3亮…………如此循环直至记数的次数到;随时按停止按钮停止系统运行。2、系统设计流程示意图如下图1:3、I/O分配:输入端口 输出端口启动按钮SB0 X0 HL1 Y1停止按钮SB1 X1 HL2 Y2HL3 Y3HL4 Y4HL5 Y5HL6 Y6HL7 Y7图1:流程示意图4、I/O接线图:图2 :I/O接线图三、软件设计要求:1、系统设计梯形图:图3:梯形图2、系统设计指令表:四、系统调试:硬件调试:接通电源,检查三菱FX2N-48MR可编程控制器是否可以正常工作,接头是否接触良好,然后把其与电脑的通信口连接。软件调试:按要求输入梯形图,转换成指令表,并进行语法的检查,正确后设置正确的通信口,将指令读入到指定的可编程控制器ROM中,进行下一步的调试。运行调试:在硬件调试和软件调试正确的基础上,打开三菱FX2N-48MR可编程控制器的“RUN”开关进行调试;观察运行的情况,看是否是随时按下停止按钮可以停止系统运行,或者等待100个脉冲后,系统是否停止运行。根据以上的调试情况,本彩灯循环点亮的PLC控制系统设计符合要求。五、设计心得:通过这次对彩灯循环点亮的PLC控制,让我了解了plc梯形图、指令表、外部接线图有了更好的了解,也让我了解了关于PLC设计原理。有很多设计理念来源于实际,从中找出最适合的设计方法。虽然本次课程设计是要求自己独立完成,但是,彼此还是脱离不了集体的力量,遇到问题和同学互相讨论交流。多和同学讨论。我们在做课程设计的过程中要不停的讨论问题,这样,我们可以尽可能的统一思想,这样就不会使自己在做的过程中没有方向,并且这样也是为了方便最后设计和在一起。讨论不仅是一些思想的问题,还可以深入的讨论一些技术上的问题,这样可以使自己的处理问题要快一些,少走弯路。多改变自己设计的方法,在设计的过程中最好要不停的改善自己解决问题的方法,这样可以方便自己解决问题六、参考文献:[1]廖常初. PLC基础及应用.北京:机械工业出版社[2]史国生. 电气控制与可编程控制器技术.北京:化学工业出版社,2003[3]孙振强. 可编程控制器原理及应用教程.北京:清华大学出版社[4]阮友德. 电气控制与PLC实训教程.北京:人民邮电出版社,2006

一定要用PLC吗?如果用其他的 很好做的

西门子PLC交通灯毕业设计论文编号:ZD033 字数:11073,页数:32 包括源程序摘 要随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。为此,笔者进行了深入的研究,本文就城乡交通灯模拟控制系统的电路原理、设计计算和实验调试等问题来进行具体分析讨论。实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。其中用标准逻辑器件来实现电路在很大程度上要受到逻辑器件如门电路等的影响,调试工作极为不易,而笔者对单片机运用来进行系统的设计开发也不是很熟悉,因此,最终笔者选择了用可编程的控制器PLC来实现系统功能的设计,完成本次设计的题目。关键字:PLC 交通灯 程序 报告 设计 任务要求:1. 交通红绿灯控制系统1.1启停控制.信号灯受一个启动开关控制,当启动开关接通时,交通信号灯系统,行人信号灯系统,电子警察记录违章闯红灯系统开始工作,且先南北交通红灯亮,东西交通绿灯亮;东西行人交通红灯亮,南北行人交通绿灯亮;按规律循环控制.当启动开关断开时,交通信号系统,行人信号灯系统,电子警察记录违章闯红灯系统停止工作.1.2 南北向交通红灯亮维持60秒,东西向交通红灯亮60秒.进入下一个循环.1.3 在南北向交通红灯亮的同时,东西向交通绿灯亮25秒,熄灭.然后东西向交通黄灯闪烁5秒后熄灭.随后东西向交通红灯亮,同时南北向转向绿灯高25秒,闪烁5秒后熄灭.进入下一个循环.1.4 在东西向交通红灯亮的同时,南北向交通绿灯亮25秒,熄灭;然后南北向交通黄灯闪烁5秒后熄灭.随后南北向交通红灯亮,同时东西向转向绿灯亮25秒,闪烁5秒后熄灭.进入下一个循环.2. 行人红绿灯控制2.1与交通红绿灯系统同时控制,南北向交通红灯持续亮时,东西向行人绿灯启动,南北向行人经灯亮维持60秒,东西向行人红灯亮30秒.进入下一个循环.2.2 在东西向行人红灯亮的同时,南北向行人绿灯亮25秒,然后闪烁5秒后熄灭,提醒行人赶快通过马路.进入下一个循环.2.3 在南北向行人红灯亮的同时,东西向行人绿灯亮25秒, 然后闪烁5秒后熄灭,提醒人赶快通过马路.进入下一个循环.3. 电子警察记录违章闯红灯系统控制3.1在南北向交通红灯亮的同时,如果有车辆违章闯红灯(南北向各用开关或用光栅来模拟),蜂鸣器响;绿灯时接通开关不起作用.3.2在东西向交通红灯亮的同时,如果有车辆违章闯红灯(南北向各用开关或用光栅来模拟), 蜂鸣器响;绿灯时接通开关不起作用. 目录摘要 2ABSTRACT 3第1章 绪论 51.1交通信号灯的作用与研究意义 51.2 PLC发展的现状: 51.3可编程序控制器的特点及应用 81.4概述 9第2章 系统的方案设计 112.1任务要求: 112.2控制方案 122.3 PLC的选择 132.3.1扩展模块的选用 132.3.2、PLC的网络设计 132.3.3、软件编制 132.3.4确定所选PLC 132.4程序设计 132.4.1流程图(见下图) 132.4.2时序图 142.4.3程序 152.5实验仿真 222.6 原件清单 24设计小结 25致谢 27参考文献 28附录:完整语句表 29以上回答来自:

相关百科

热门百科

首页
发表服务