首页

> 期刊投稿知识库

首页 期刊投稿知识库 问题

汽车灯光毕业论文5000

发布时间:

汽车灯光毕业论文5000

什么时候交呀

英文在参考资料里第一部分 摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。 汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。 关键词:电路 单行线制 系统 导线 各种车灯 目录:(1)全车线路的连接原则 (2)识读电路图的基本要求 (3)以东风EQ1090型载货汽车线路为例全车线路的认读 a.电源系统线b.起动系统线路c.点火系统线路d.仪表系统线路e.照明与信号系统线路 (4)全车电路的导线 (5)识读图注意事项 论汽车电路的识读方法 在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。 一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。 蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。 汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。 灯光照明电路是指控制组合开关、前大灯和小灯的电路系统;信号电路是指控制组合开关、转弯灯和报警灯的电路系统;仪表电路是指点火开关、仪表板和传感器电路系统;启动电路是指点火开关、继电器、起动机电路系统;充电电路是指调节器、发电机和蓄电池电路系统。以上电路系统是必不可少的,构成全车电路的基本部分。辅助电路是指控制雨刮器、音响等电路系统。随着汽车用电装备的增加,例如电动座椅、电动门窗、电动天窗等,各种辅助电路将越来越多。 旧式汽车电路比较简单,一般情况下,它们的正极线(俗称火线)分别与保险丝盒相接,负极线(俗称地线)共用,重要节点有三个,保险丝盒、继电器和组合开关,绝大部分电路系统的一端接保险丝或开关,另一端联接继电器或用电设备。但在现代汽车的用电装置越来越多的情况下,线束将会越来越多,布线将会越来越复杂。随着汽车电子技术的发展,现代汽车电路已经与电子技术相结合,采用共用多路控制装置,而不是象旧式汽车那样通过单独的导线来传送。 使用多路控制装置,各用电负载发送的输入信号通过电控单元(ECU)转换成数字信号,数字信号从发送装置传输到接收装置,在接收装置转换成所需信号对有关元件进行控制。这样就需在保险丝、开关和用电设备之间的电路上添加一个多路控制装置(参阅广州雅阁后雾灯线路简图)。采用多路控制线路系统可。第二部分第二部分简要介绍了全车线路识读的原则、要求与方法以及电路用线的规格。主要针对其在东风EQ1090车型 汽车电路与电器系统应用情况作了概括性的阐述。其包括了电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等主要部分进行了说明。通过对东风EQ1090车型的系统学习,为以后接触到各类不同车型打下个坚实的基础。一、全车线路的连接原则 全车线路按车辆结构形式、电器设备数量、安装位置、接线方法不同而各有不同,但其线路一般都以下几条原则:(1)汽车上各种电器设备的连接大多数都采用单线制;(2)汽车上装备的两个电源(发电机与蓄电池)必须并联连接;(3)各种用电设备采用并联连接,并由各自的开关控制;(4)电流表必须能够检测蓄电池充、放电电流的大小。因此,凡是蓄电池供电时,电流都要经过电流表与蓄电池构成的回路。但是,对于用电量大且工作时间较短的起动机电流则例外,即启动电流不经过电流表;(5)各型汽车均陪装保险装置,用以防止发生短路而烧坏用电设备。 了解上面的原则,对分析研究各种车型的电器线路以及正确判断电器故障很有帮助。二、基本要求 一般来讲全车电路有三种形式,即:线路图、原理图、线束图。(一)、识读电路图的基本要求 了解全车电路,首先要识读该车的线路图,因为线路图上的电器是用图形符号以及外形表示的,容易识别。此外,线路图上的电器设备的位置与实际车上的位置是对应的,容易认清主要设备在车上的实际位置,同时,也可对设备的功能获得感性认识。 识读电路图时,应按照用电设备的功用,识别主要用电设备的相对分布位置;识别用电设备的连接关系,初步了解单元回路的构成;了解导线的类型以及电流的走向。(二)、识读原理图的基本要求 原理图是一图形符号方式,把全车用电设备、控制器、电源等按照一定顺序连接而成的。它的特点是将各单元回路依次排列,便于从原理上分析和认识汽车电路。 识读原理图时,应了解全车电路的组成,找出各单元回路的电流通路,分析回路的工作过程。(三)、识读线束图的基本要求 线束图是用来说明导线在车辆上安装的指导图。图上每根导线所注名的颜色与标号就是实际车上导线的颜色和到端子的所印数字。按次数字将导线接在指定的相关电器设备的接线柱上,就完成了连接任务。即使不懂原理,也可以按次接线。 总上所述,掌握汽车全车线路(总线路),应按以下步骤进行: (1)对该车所使用的电气设备结构、原理有一定了解,知道他的规格。(2)认真识读电路图,达到了解全车所使用电气设备的名称、数量和实际安排位置;设备所用的接线柱数量、名称等。(3)识读原理图应了解主要电气设备的各接线柱和那些电器设备的接线柱相连;该设备分线走向;分线上开关、熔断器、继电器的作用;控制方式与过程。(4)识读线束图应了解该车有多少线束,各线束名称及在车上的安装位置;每一束的分支同向哪个电器设备,每分支又有几根导线及他们的颜色与标号,连接在那些接线柱上;该车有那些插接器以及他们之间的连接情况。(5)抓住典型电路,触类旁通。汽车电路中有许多部分是类似的,都是性质相同的基本回路,不同的只是个别情形。三、全车线路的认读 下面以东风EQ1090型载货汽车线路为例,分析说明各电子系统电路的特点。东风EQ1090型载货汽车全车线路主要由电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等组成。(一)电源系统线路 电源系统包括蓄电池、交流发电机以及调节器,东风EQ1090汽车配装电子式电压调节器,电源线路如图。其特点如下:(1)发电机与蓄电池并联,蓄电池的充放电电流由电流表指示。接线时应注意电流表的-端接蓄电池正极,电流表的+端与交流发电机‘电枢’接线柱A或B连接,用电设备的电流也由电流表+端引出,这样电流表才能正确指示蓄电池的充、放电电流值。(2)蓄电池的负极经电源总开关控制。当发电机转速很低,输出电压没有达到规定电压时,由蓄电池向发电机供给磁场电流。(二)起动系统线路 启动系统由蓄电池、启动机、启动机继电器(部分东风EQ1090型汽车配装复合继电器)组成,系统线路如图。 启动发动机时,将点火开关置于“启动”档位,启动继电器(或复合继电器)工作,接通起动机电磁开关电路,从而接通起动机与蓄电池之间得电路,蓄电池便向起动机供给400~600A大电流,起动机产生驱动转矩将发动机起动。 发动机起动后,如果驾驶员没有及时松开点火开关,那么由于交流发电机电压升高,其中性点电压达5V时,在复合继电器的作用下,起动机的电磁开关将自动释放,切断蓄电池与起动电动机之间的电路,起动机便会自动停止工作。 根据国家标准GB9420--88的规定,汽车用起动电动机电路的电压降(每百安的培的电压差)12V电器系统不得超过0.2V,24V电器系统不的超过0.4V。因此,连接启动电动机与蓄电池之间的电缆必须使用具有足够横截面积的专用电缆并连接牢固,防止出现接触不良现象。(三)点火系统线路 点火系统包括点火线圈、分电器、点火开关与电源。系统线路如图,其特点:(1)在低压电路中串有点火开关,用来接通与切断初级绕组电流;(2)点火线圈有两个低压接线端子,其中‘-’或‘1’端子应当连接分电器低压接线端子,“+”或“15”端子上连接有两根导线,其中来自起动机电磁开关的蓝色导线,(注:个别车型因出厂年代不同其导线颜色有可能不同)应当连接电磁开关的附加电阻短路开关端子“15a”;白色导线来自点火开关,该导线为附加电阻(电阻值为1.7欧姆左右)所以不能用普通导线代替。起动发动机时,初级电流并不经过白色导线,而是由蓄电池经起动电磁开关与蓝色导线直接流入点火线圈,使附加电阻线被短路,从而减小低压电路电阻,增大低压电流,保证发动机能顺利起动。(3)在高压电路中,由分电器至各火花塞的导线称为高压导线,连接时必须按照气缸点火顺序依次连接。(四)仪表系统线路 仪表系统包括电流表、油压表、水温表、燃油表与之匹配的传感器,系统线路如图所示。其特点如下:(1)电流表串联在电源电路里,用来指示蓄电池充、放电电流的大小。其他几种仪表相互并联,并由点火开关控制。(2)水温表与燃油表共用一只电源稳压器,其目的是当电源电压波动时起到稳压仪表电源的作用,保证水温表与燃油表读数准确。电源稳压器的输出电压为8.64V+/-0.15V。 报警装置有油压过低报警灯和气压过低蜂鸣器,分别由各自的报警开关控制。当机油压力低于50~90kpa时,油压过低报警开关触电闭合,油压过低指示灯电路接通而发亮,指示发动机主油道机油压力过低,应及时停车维修。东风EQ1090型汽车采用气压制动系统,当制动系统的气压下降到340~370kpa时,气压过低蜂鸣器鸣叫,以示警告。(五)照明与信号系统线路 照明与信号系统包括全车所有照明灯、灯光信号与音响信号,系统线路如图所示。其特点如下:(1)前照灯为两灯制,并采用双丝灯泡;(2)前照灯外侧为前侧灯,采用单灯丝,其光轴与牵照灯光轴成20度夹角,即分别向左右偏斜20度。因此,在夜间行车时,如果前照灯与前侧灯同时点亮,那么汽车正前方与左右两侧的较大范围内都有较好的照明,即使在汽车急转弯时,也能照亮前方的路面,从而大大改善了汽车在弯道多、转弯急的道路上行驶时的照明条件;(3)前照灯、前下灯、前侧灯及尾灯均由手柄式车灯开关控制;(4)设有灯光保护线路;(5)制动信号灯不受车灯总开关控制,直接经熔断丝与电源连接,只要踩下制动踏板,制动邓开关就会接通制动灯电路使制动灯发亮;(6)转向信号灯受转向灯开关控制;(7)电喇叭由喇叭按钮和喇叭继电器控制

我是从事汽修专业的你的这个问题我接触过!汽车前照灯故障分很多种,至于一侧灯光亮一侧灯光暗是其中一种!我用丰田威驰讲解一下。丰田威驰汽车灯光有两个开关。一个是灯光开关一个是变光开关。当示宽灯全不亮可以判断定光开关有问题,在继续变换灯管时候两侧同时不亮可以判定变光开关有问题。一侧灯光不亮(变光开关、灯光开关都正常)由于威驰车没有继电器所以就去灯罩后面的插接器出检测,没问题再查灯泡。一侧亮一侧暗文体就出自于有问题一侧的灯光保险可能出现问题!以上就是我对于汽车灯光故障的检查分析故障车辆丰田威驰

有关汽车远光灯的毕业论文

因为汽车有高低速之分;且考虑到对方车辆司机或行人的安全!

远光灯是汽车的重要功能之一。与近光相比,远光灯发出平行光,光线集中,亮度更高,可以照射到更高更远的物体上,对驾驶员夜间的视线有很大帮助。但是,目前滥用远光灯的现象相当严重。在错误的时间使用远光灯不仅会提高驾驶安全性,还会增加危险事故的概率。现实生活中不正确使用远光灯会有什么后果?我们如何正确使用远光灯?

●远光灯的影响

毫无疑问,远光灯可以提高视线,拓宽观察视野。在没有路灯的黑暗道路上,开远光灯后的可视范围比只开近光灯时要大得多。因此,在这种情况下,打开远光灯是非常必要的。从下面的效果图中,我们可以很容易地看到远光灯的优势。

●远光灯带来的隐患

根据中国《道路交通安全法》第四十八条,在有路灯或者其他照明良好的道路上行驶,不得开启远光灯。远光灯开启的车辆应在会车前150米切换到近光灯。

虽然我们很清楚不开灯的不良影响,但还是有人不顾别人感受,坚持开远光灯。他们可能认为只要能看清迎面而来的车,就不会有危险。如果你有这个想法,那就大错特错了。让我们模拟一些场景,看看远光灯打开时,隐患在哪里。

◆前面见面时:

隐患:瞬间失明

夜间行车时,远光灯可使对面驾驶员瞬间视觉失明。致盲时间取决于驾驶员自身视力,周围环境持续时间会有所不同,但最早会持续2秒左右。在这两秒钟里,司机闭着眼睛开车,观察周围行人和前后来车的能力大大降低。

隐患:对速度和距离的感知下降。

晚上视力不好,人眼对迎面驶来的汽车速度的判断会打折扣。在这种情况下,开启远光灯对人眼的干扰会加速这种判断的下降。当你打开远光灯时,你误判了迎面而来的汽车的速度和距离。当你应该减速与车相遇时,他可以安全地避开自行车或开始借道超车。这时,远光灯可能会适得其反。

隐患:宽度判断降低。

类似于《隐患2》的原理,远光灯产生的超大光晕会占据很大一部分人的视觉,会让驾驶员对来车宽度和后面情况的判断下降。从而采取错误的行动。

◆后车打开远光灯:

隐患:车尾开启远光灯时,前车内外后视镜会出现大面积光晕。如果追上你的车是浅内饰,那车无疑会亮得跟灯一样亮。前方的三个光晕会降低前方道路的能见度。如果此时要合并或转弯,从后视镜观察后方情况是完全不可能的。

可见,在错误的时间使用远光灯,不仅会提高行车安全性,还会增加危险系数。对于明知故犯的人来说,这种危险的行为无异于“杀人”。在文章的最后,我们总结了不当使用远光灯的几大“罪行”,同时也给司机朋友们日常使用车灯的一些建议,让远光灯能够真正的用起来,帮助我们提高行车安全。

犯罪1:远光灯后面的东西很难被迎面而来的车发现。

犯罪二:远光灯的相遇会导致汽车瞬间失明,极其危险。

犯罪三:面对远光灯,驾驶员对迎面驶来的车辆的速度和距离产生错误,容易造成误操作。

百万购车补贴

汽车远光灯、近光灯的自动变换器。该自动变换器是一种用于汽车夜间行车交会时,可以在50-100米的距离内会自动完成远光灯转换成近光灯,待交会后又能迅速恢复为远光灯照明的汽车.远光灯、近光灯的自动变换装置,它由接收信号电路、放大电路、信号锁定电路、延时吸合电路、控制电路和延时释放电路所构成.实用新型具有工作稳定,它只有在夜间行车时受到光的直线照射下才会工作,白天强光和晚上左右灯光或路灯照明光对其都不起作用,该装置体积小、重量轻、制造及安装方便且不易损坏等优点。

汽车车灯毕业论文

在中国,绝大多数货车是略微向后倾斜的。其实这是中国车辆种类少的原因,少见多怪这个很容易理解吧?在美国,如同轿车那么斜的货车很常见, 他们还有直立的甚至向前倾斜的。中国向前倾斜的也有,比如仿制俄罗斯的火箭炮拖车就是这样的。早期的轿车也是直立的,有时出于美观向后略微倾斜。随着汽车的发展,出于减少空气阻力的考虑,高速的轿车挡风玻璃都变成向后倾斜以获得更好的空气动力性。货车一般车速比较慢,而且货车因为重载,风阻占整个行驶阻力的份额比较少,再者货车驾驶舱占整个车的尺寸很小,风挡形状对整个车没多少影响。所以货车对挡风玻璃的倾斜没那么多考虑。通常,玻璃略微向前倾斜会有最好的观察性能,但这样会造成重量增加,所以一般这种形状的很少见到。略微向后倾斜在结构重量、使用空间和观察性能方面得到了最好的统一,所以大多数汽车都这样布置包括一些轿车。大角度向后倾斜因为玻璃的反光和阳光对司机的干扰,因此观察性能很差,另外空间利用上也差,结构重量变大,这是为了获得高速性能而做出的妥协。这种结构对前下方的视线也被阻挡了,所以对于驾驶员高高在上的货车来说完全不可取,即使轿车中也用得不是很多。

捷达汽车电器实验台设计摘要:依据都市先锋(捷达王GrX)的车身电器设计一个实验台,此实验台可以模拟电源及起动系、照明系、信号系统及辅助电器系统的实际工作情况。通过实际演示和排除故障使学生对每个电器元件和整个电器系统有更加深刻的理解,从而达到理论联系实际的目的。关键词:模拟;电器;故障;实验台;设计电子技术在汽车上的广泛应用使汽车性能和结构不断改进和提高。在原来汽车电器系统的基础上,采用电子技术,一方面提高原机械零件的工作性能及可靠性;另一方面满足人们对汽车整体性能的要求,使汽车更加豪华、先进、舒适和安全。现代轿车广泛采用电子技术,其结构比较复杂,且汽车运行中电器故障所占的比重远远高于其它故障,约占40%一60%,这对从事汽车工程相关专业的人员提出了更高的要求。对于汽车专业技术人才培养来说,如何使理论与实践相结合,提高工程实践能力,是一项重要的课题。1捷达(GTX)电器实验台的设计1.1实验台的总体设计要求电器实验台的设计要满足教学的需要,即具有良好的示教效果以及便捷的操控性能。同时进行相关辅助功能的开发,从而锻炼学生的工程实践应用能力。具体要求体现以下J七个方面:l)选型。具有代表性、普及性,同时具有鲜明技术特色。2)服务教学。示教效果简单、明了,操控方便快捷;并且具有故障诊断功能,以利于提高学生的工程实践运用能力。3)实验台布局。在考虑布局合理、结构紧凑的同时,要便于教学;并注意各个电器元件在工作时相互之间功率的匹配。4)实验台功能开发。预留外接端子连接其他设备的插接件,为实验台的功能扩展和更新元件提供基础和应用平乙入「习O1.2选择车型结合设计要求的普及性、代表性、特色性,中低档经济型轿车就在设计所考虑的范围内。捷达GTX经过不断发展与完善,不但技术含量较高,还有许多自身的技术特色,并且是我国国内目前保有量最多和受欢迎程度最高的普及型轿车之一,在很大程度上能代表我国轿车行业的先进水平。具有一定的代表性和可以开发利用的前景。综合以上,设计车型选定为捷达GTX型轿车。1.3捷达GTX电器实验台的设计与校核电器实验台的设计要实现良好的操控性能及示教效果,要求台架可以实现翻转折叠,并考虑到电器系统构件的支撑及定位紧凑、台面质量分布均匀度、台面的整洁度、台面稳定性、运动件与固定件是否发生干涉等因素。1.3.1台面设计电器实验台的板面设计和制作主要依据捷达(汀X轿车的车身线束进行布置,同时也参照了捷达车身的各电器元件的布置情况。该设计可以合理地利用板面空间,还可以尽最大可能地再现各电器元件在原车上的位置。但由于在同一平面上,没有空间位置关系,这样在某些细节部分和原车的实际位置就有一定的差别。板面尺寸的确定主要依据各个电器元件的形位尺寸和位置尺寸。根据线束的布置及几个主要元件的形位尺寸,然后初步估算板面的大小,把布置在板面轮廓上的元件的位置确定下来。1.3.2台架的设计电器实验台台架的设计是根据板面的布置情况和大小以及某些元件的传动需要进行的,电器实验台为平面可翻转折叠式,有良好的稳定性和足够的强度来支撑板面,能翻转便于教学。由于实验台上装有起动机、发电机以及带动发电机的电动机,为了不增加板面的负荷,利于翻转,在板面下方的台架上装一U型架,将发电机输出端导线沿着台面翻转合叶处引上台面,从而使发电机和电动机不参与翻转。1.3.3台架的选材台架的材料选用45钢,强度、价格均可行。台架的各连接处均采用焊接,其强度不低于原材料的强度,可以达到支撑台面及固定、稳定的作用。为了移动方便,采用橡胶轮,起到减震的作用。1.3.4对台架的强度校核台架有2个稳定位置,即水平位置和与水平方向成70c夹角的位置。1)水平状态时,台架所受的重力对台架支撑点的作用力由面板的材料强度承受,合力矩为零,此时台架处于稳定状态。1.4附属件的选择与定位1.4.1电动机的选择因捷达王的车用发电机的额定功率为1.26kw,额定转速为600Or/而n,所以要求选用的电动机的额定功率应大于发电机的额定功率。考虑到电动机的工作环境,选用Y系列的三项鼠笼式异步电动机。为实现可靠的传动,依据设计目的,考虑到实验台是用于教学,工作时间短,且周期性工作。选择V带传动,皮带的工作表面在短期内不会过量磨损,而使用寿命能够足够长,无需经常更换。1.4.2发电机及电动机位置的确定发电机是汽车电器设备的重要元件之一,是汽车电源系统的主要来源,在汽车正常运行时,除向全部用电设备(除起动机外)供电之外,还可以为蓄电池充电。因为发电机工作转速约为6000r/而n,质量在Zkg左右,与其配合用的电动机质量为电器元件中最大的(含电动机转动),对整个实验台的稳定性会产生一定影响。考虑以上原因,把发电机及电动机的位置确定在板面下方的底架上,由板面位置示意图可以清晰看到发电机的位置,如图2所示。〔汀X电器进行了一系列的挑选,局部做出替换如下:1)所选电器元件包括蓄电池、发电机、大灯、仪表盘、中控门、电动车窗等。2)元件的替换。从实用美观考虑,对部分元件进行调整例如,汽车上的喇叭开关是方向盘的顶盖,而实验台用按键开关替代;考虑到教学的针对性及台架的整体布局,选用电动机代替发动机带动发电机转动。1.5.2捷达电器常见故障列表为实现对于实验台教学诊断功能,将常见的电器系统故障进行归纳总结,选取具有代表性的故障为参考,进而实现相关故障的设置与诊断。电器系统常见故障如表1所示。1.5.3实验台电器系统故障的设置为实现教学目的,根据表1中常见的故障,在实验台设置故障断路,例如车灯不亮会有很多种原因:蓄电池可能电量不足、保险丝可能烧坏、车灯灯丝可能烧坏,学生在诊断过程中结合实验台电路进行查找,就会发现具体原因及问题所在。了解电器系统工作原理的同时,提高工程分析能力。充分考虑实验台的局限性,设置的故障点全部为电路的故障,使学生结合电路图快速查找故障。2捷达电器实验台的升级作为有一定使用年限的教学设备,实验台的后续功能开发是很必要的。为了充分实现教学功能,要求在使用过程中不断完善实验台的相关功能,进行定期更新升新升级。2.1设备本身进行升级电子设备更新越来越快,在其原有设备的基础上对淘汰较快的进行更换,以达到充分利用设备潜能的目的,不断延续实验台的使用寿命,减少不必要的资金投人。2.2通过预留端口或改造其控制线路进行升级这样做可以对一些原本不能在实验台实现的功能进行演示,让同一个实验台可以完成多个实验项目。例如,在实验台接有自诊断端口接头,一旦接上转用的诊断设备就能实现模拟的诊断过程,充分展示数据流功能。如果有相应的发动机实验台架,将其进行连接,便能真正的演示汽车的大部分使用工况,这实际上是对已有台架的功能进行很大的扩充。2.3实验台的控制功能升级根据汽车电器系统中使用的传感器的工作特性,利用单片机编程模拟信号,同时实现相应的演示功能。并且在信号调试过程中了解车用电器系统信号的特征,完善实验台电器系统装备,实现电器系统、电控系统功能合一。同时在故障设置以及故障诊断过程使用遥控器进行控制,操作便捷的同时对学生的故障诊断能力提出更高的要求,能够在更大程度上加强对学生工程实践应用能力的培养。3结束语汽车行业的迅猛发展,汽车控制装备的广泛应用,势必对汽车相关专业从业人员的素质要求越来越高。为更好地适应电子技术的更新,捷达GTX型轿车实验台对于提升学生的工程实践能力将会起到巨大的作用。参考文献1」吕传章.汽车维修与检测诊断【MJ.北京:人民交通出版社,2001.仁2习沈树盛.汽车电器维修经验集〔M皿.成都:四川科学技术出版社,2仅抖.〔3」汪立亮现代汽车电器设备原理与检修〔M〕.北京:电子工业出版社,2001.〔4〕严烈.AutoCAI)2000机械工程绘图实例宝典〔M〕.北京:冶金工业出版社,2001.[5」刘瑞新,赵淑萍,朱世同.Aut以二AD2000应用教程【M],北京:机械工业出版社,2000.否6」裘玉平.汽车电器设备维修厂M].北京:人民交通出版社,1997,仁7」张凤山,王颖.国产轿车故障诊断与排除精选[Ml.北京:机械工业出版社,加03.仁8〕秦明华汽车电器与电子技术仁M〕.北京:北京理工大学出版社,2003.

浅谈光栅玻璃在汽车上的应用

摘要随着工业技术的进步,功能、安全玻璃在汽车行业己经广泛的应用,作为高科技的玻璃产品,光栅玻璃应

用于汽车挡风玻璃,必将为玻璃行业和汽车工业带来更为广阔的生机。

Abstract Function glass(varieties of safety glass),with the development of industrial technology

has beenw

idely

in used in automobile Industrial,as High—tech glass production,Raster glass has

been in used in automobileI

ndustrial,

丽ll bring a broader 1ife in the glass industry and the automobile industry,

关键词光栅玻璃汽车玻璃挡风玻璃应用

Key words Raster glass Autoglass Windsereens Application

汽车作为一个国家工业发达程度的象征,已经过近百年的发展。而汽车玻璃的革新亦在不断变化。作为高

科技的玻璃产品,光栅玻璃应用于汽车挡风玻璃,可使夜间相向而行的汽车,在会车的时候,省去复杂的打会

车灯的步骤,避免夜闯会车时,汽车大灯造成的司机暂时性目眩,减少交通事故的发生。

1光的偏振原理

为了理解汽车安全玻璃避光的原理,我们有必要来了解一下光波的特性。我们日常生活当中所见到的光大

部分都是自然光,我们知道光波是横波,横波可以发生偏振现象。从普通光源直接发生的天然光是无数偏振光

的无规则集合,所以当我们直接观察光的时候,不可能发生光强偏于某一方向的事情.这种沿着各个方向振动

的光波的强度都相同的光叫自然光:太阳、电灯等普通光源发出的光,包含着在垂直于传播方向的平面内沿一

切方向振动的光。而且沿着各个方向振动的光波强度都相同,这些光都是自然光。

让太阳光或灯光通过一块用晶体薄片作成的偏振片Pl(如下图),在Pl的另-N观察,可以看到它是透明的.以

入射光线为轴旋转偏振片Pl,这时看到透射光的强度并不发生变化. 再取一块同样的偏振片P2,放在偏振片Pl的后面,通过它去观察从偏振片Pl透射过来的光,就会发现

从偏振片P1透射过来的光的强度跟两偏振片P1、P2的相对方向有关.

把晶片P1固定,以入射光线为轴旋转偏振片P2时,从P2透射过来的光的强度发生周期性的变化.

当Pl与P2的透振方向平行时,透射光的强度最大,当Pl与P2的透振方向垂直时,透射光的强度最弱

几乎等于零

其透射光的强度可由下式求得:

(式中a为偏振光的偏振方向与偏振片的偏振方向的夹角),

上式就是著名的马吕斯定律。

从马吕斯定律可以看出,线偏振光通过偏振片后,光强随入射线偏振光的振动方向和偏振片的透光轴方向

之间的夹角q的改变而改变.当a=O时,I=I。,透过偏振片的光强最大;当n--90。时,I=0,没有光透过偏

振片。

根据以上原理可知,如果在所有的汽车前挡风玻璃和车灯玻璃上镀一层偏振膜,而保持这两种偏振膜的偏

振方向互相垂直,就能达到在夜间会车时避免车灯强光透过,而眩目的目的。

2偏振片的分类形式

目前的玻璃镀膜技术已十分成熟。从最初的化学镀膜到真空蒸镀以至发展到现在的真空磁溅射镀膜。国外

很早就在玻璃镀膜的技术上开发了车灯防护产品。早在1995年,BOCCT公司取得氧化硅阻挡膜专利;德国

Leybold公司把这一技术用于汽车车灯的在线团束溅射镀膜上。而我国的玻璃镀膜技术发展比较缓慢。1990

年以后才迅速发展。根据我国目前汽车和玻璃市场的发展趋势,应该开发这种偏振膜产品(也就是车灯阻挡膜)。

上文提到的偏振片就可以用在玻璃上镀覆偏振膜的方法得到。一般较常用的偏振片种类有以下数种:

2.1反射型

当光线斜射入玻璃表面时,其反射光将被部分偏振化。利用多层玻璃的连续反射效果即可将非偏振光转为线性

偏振光。

2.2复屈折型

将两片方解石晶体接合,入射光线会被分解为两道偏振光,称为平常光与非常光。

2.3二色性微晶型

将具有二色性的微小晶体有规则地吸附排列在透明的薄片上,这是人工第~次做出偏光膜的方法。

2.4高分子二色性型

利用透光性良好的高分子薄膜,将膜内分子加以定向。再吸着具有二色性的物质,此为现今生产偏光膜最主要

的方法。这类吸收式的起偏器都是以膜(Film)或是板Ⅱ1ate

or

Sheet)的形式存在,因此,通常又称之为偏光膜

(Polarizing Film)或偏光板(Polarizmg Plate orSheet)。英文上另外一个更通俗的称呼是Polarizing Filter。

3偏振膜的发展过程

为了更好地了解偏振玻璃的功能,我们有必要再来了解一下偏振膜的发展过程和它的分类方法:

3.1偏光膜的起源

偏光膜是由美国拍立得公(Polaroid)iilJ始人兰特(Edwin H.Land)于1938年所发明。六十年后的今天,虽然

偏光膜在生产技巧和设备上有了许多的改进,但在制程的基本原理和使用的材料上仍和六十年前完全一样。因

此,在说明偏光膜的制作过程的原理之前,先简单的叙述一下兰特当时是在什么情况下褥到灵感,相信这有助

于全面了解偏光膜的制作过程。

兰特于1926年在哈佛大学念书时看了一篇由英国的一位医生Dr.Herapath在1852年发表的论文,内容提到

Dr.Herapach的一位学生Mr.Phelps曾不小心把碘掉入the solution disulfate of quinine,他发现立即就有许多小的

绿色晶体产生,Dr.Herapath于是将这些晶体放在显微镜下观察,发现当两片晶体相重叠时,其光的透过度会

随晶体相交的角度而改变,当它们是相互垂直时,光则被完全吸收;相互平行时,光可完全透过。

这些碘化合物的晶体非常小,所以在实际应用上有了很大的限制,Dr.Hempath花了将近十年的时间来研究

如何才能做出较大的偏光晶体,可是他并没有成功。因此,兰特认为这条路可能是不可行的,于是他采用了以

下的方式:

t

●兰特把大颗粒晶体研磨(ball mln)成微小晶体,并使这些小晶体悬浮在液体中。

●将一塑料片放A上述的悬浮液中,然后再放入磁场或电场中定向。

●将此塑料片从悬浮液中取出,偏光晶体就会附盖在塑料片的表面上。

●将此塑料片留在磁场或电场中,干燥后就成为偏光膜。

兰特的方法是将许多小的偏光晶体,有规则的排列好,这就相当于一个大的偏光晶体。他应用上述的方法,

在1928年成功的做出了最早问世的偏光膜J片。这种方法的缺点是费对、成本高和模糊不透明。但兰特已经

发现了制造偏光膜的几个重要因素:(1)碘(2)高分子(3)定向(Orientation)。经过不断的研究改进,兰特终于在1938年发明了到现在还在沿用的制造方法,其制法如下:首先把一张柔软富化学活性的透明塑料板(通常用PVA

浸渍在12/KI的水溶液中,几秒之内许多碘离子扩散渗入内层的PVA,微热后用人工或机械拉伸,直到数倍长

度,PVA板变长同时也变得又窄又薄,PVA分子本来是任意角度无规则性分布的,受力拉伸后就逐渐一致地偏

转于作用力的方向,附着在PVA上的碘离子也跟随着有方向性,形成了碘离子的长链。因为碘离子有很好的

起偏性,它可以吸收平行于其排列方向的光束电场分量,只让垂直方向的光束电场分量通过,利用这样的原理

就可制造偏光膜。时下最通用的偏光膜是兰特在1938年所发明的H片,一直沿用至今。

3.2偏光膜的种类及发展:

偏光膜的应用范围很广,不但能使用在LCD做为偏光材料,亦可用于太阳眼镜、防眩护目镜、摄影器材

之滤光镜、汽车头灯防眩处理及光量调整器,其它尚有偏光显微镜与特殊医疗用眼镜。为了满足轻量化及使用

容易的要求,偏光膜的选择以高分子二色性型为主,这类起偏材料的种类有四:

3.2.1金属偏光膜

将金、银、铁等金属盐吸附在高分子薄膜上,再加以还原,使棒状金属有起偏的能力,现在已不使用这种方法

生产。

3.2.2碘系偏光膜

PVA与碘分子所组成,为现今生产偏光膜最主要的方法。

3.2.3染料系偏光膜

将具有二色性的有机染料吸着在PVA上,并加以延伸定向,使之具有偏旋光性能。

3.2.4聚乙烯偏光膜

用酸为触媒,将PVA脱水,使PVA分子中含一定量乙烯结构,再加以延伸定向,使之具有偏旋光性能。

通过以上的介绍,我们可知,一直以来偏光膜均是将具有二色性的有机染料涂覆在聚乙稀醇上进行拉伸定

向而成。在玻璃工业飞速发展的今天,我们能否借助在线沉积镀膜技术生产出玻璃偏振产品,这有待于玻璃行

业的科技工作者的进一步研究,相信在不久的将来,必将有更多更好的技术面世,为推动我国的汽车工业和玻

璃工业的发展做出贡献。

参考文献

1.张三慧编《大学物理学》第2版,清华大学出版社

2.黄士萍编《玻璃与玻璃制品生产加工技术及质量检验标准规范实务全书》2003年版,三秦出版社

3.鲁云编《先进复合材料》,机械工业出版社

汽车灯光系统检测论文

你的开题报告有什么要求?开题报告是需要多少字?你可以告诉我具体的排版格式要求,希望可以帮到你,祝开题报告选题通过顺利。1、研究背景研究背景即提出问题,阐述研究该课题的原因。研究背景包括理论背景和现实需要。还要综述国内外关于同类课题研究的现状:①人家在研究什么、研究到什么程度?②找出你想研究而别人还没有做的问题。③他人已做过,你认为做得不够(或有缺陷),提出完善的想法或措施。④别人已做过,你重做实验来验证。2、目的意义目的意义是指通过该课题研究将解决什么问题(或得到什么结论),而这一问题的解决(或结论的得出)有什么意义。有时将研究背景和目的意义合二为一。3、成员分工成员分工应是指课题组成员在研究过程中所担负的具体职责,要人人有事干、个个担责任。组长负责协调、组织。4、实施计划实施计划是课题方案的核心部分,它主要包括研究内容、研究方法和时间安排等。研究内容是指可操作的东西,一般包括几个层次:⑴研究方向。⑵子课题(数目和标题)。⑶与研究方案有关的内容,即要通过什么、达到什么等等。研究方法要写明是文献研究还是实验、调查研究?若是调查研究是普调还是抽查?如果是实验研究,要注明有无对照实验和重复实验。实施计划要详细写出每个阶段的时间安排、地点、任务和目标、由谁负责。若外出调查,要列出调查者、调查对象、调查内容、交通工具、调查工具等。如果是实验研究,要写出实验内容、实验地点、器材。实施计划越具体,则越容易操作。5、可行性论证可行性论证是指课题研究所需的条件,即研究所需的信息资料、实验器材、研究经费、学生的知识水平和技能及教师的指导能力。另外,还应提出该课题目前已做了哪些工作,还存在哪些困难和问题,在哪些方面需要得到学校和老师帮助等等。6、预期成果及其表现形式预期成果一般是论文或调查(实验)报告等形式。成果表达方式是通过文字、图片、实物和多媒体等形式来表现。

汽车检测论文

汽车检测是指为了确定汽车技术状况是否达到标准或工作能力是否正常而进行的检查和测量。下面是我为大家精心推荐的汽车检测技术论文,希望能够对您有所帮助。

国内汽车检测技术概况

[摘 要] 本文通过了解我国国内汽车检测技术的概念及其分类,介绍了我国一些先进前沿的汽车检测技术,阐述了我国汽车检测技术的发展概况,针对我国汽车检测技术中的不足之处,结合我国汽车检测技术的具体发展形势,提出了我国汽车检测技术的发展方向,这对我国汽车检测技术的发展具有一定的现实指导意义。

[关键词] 汽车检测;检测技术;国内现状;发展概况

1.汽车检测的概念

汽车检测是指为了确定汽车技术状况是否达到标准或工作能力是否正常而进行的检查和测量。汽车检测技术则是指在汽车检测这一过程中所有与之相关的检测硬件和检测软件的研发和使用技术。

2.汽车检测技术的分类

2.1 安全环保检测

安全环保检测主要是针对汽车的安全运行和环境保护方面的检测,这种检测又分为定期检测和不定期检测。该检测的目的是为了确定车辆是否具备符合要求的外观容貌以及良好的安全性能,同时对汽车的环境污染程度进行有效控制。在汽车不解体的情况下,对汽车建立安全监控体系,确保汽车能高效、安全和低污染的运行。

2.2 综合性能检测

综合性能检测是指对汽车的综合性能实行定期或者不定期的'检测。该检测的目的是为了确定汽车是否具有良好的动力性、可靠性、安全性、噪声污染性以及排气净化性。该检测主要针对汽车的故障及其原因或隐患部位实行质量监督和检测,从而建立汽车质量监控体系,来达到该检测技术的目的。

3.国内汽车检测技术的发展情况

3.1 国内汽车检测技术的发展历程

(1)20世纪60年代,我国汽车检测技术处于起步阶段。我国开始研究汽车检测技术开始于20世纪60年代,为了满足当时的汽车维修需要,我国交通部门研究和开发了发动机汽缸漏气量检测仪以及点火正时灯等一些基本的检测仪器。

(2)20世纪70年代,我国汽车检测技术进入发力发展阶段。随着我国汽车生产技术以及人们汽车使用率的飞速增长,我国交通部门开始进入大力发展汽车检测技术的阶段。汽车检测的仪器设备增多,检测项目增多,检测标准和规则也得到进一步的完善,建立了汽车性能综合检验台。

(3)20世纪80年代,我国汽车检测技术进入快速发展阶段。随着我国科学技术和国民经济的飞速发展,我国汽车制造业和交通运输业也得到了飞速发展。因此,对汽车检测技术和设备的需求也日益增涨。我国汽车检测技术因此进入其发展的蓬勃向上时期。

(4)20世纪90年代至今,我国汽车检测技术已经发展相对成熟。迈入90年代后,我国汽车检测技术从其设备的研制、开发以及生产都有了自身的一套运作体系。90年代是我国汽车检测技术的发展高潮时期。虽然目前我国的汽车检测技术与外国仍存在一定的差距,其发展的过程中也存在有一些问题和不足,但我国汽车检测技术也在不断的吸收借鉴完善自己,保证自身良好的发展态势,努力为其创造广阔的发展前景。

3.2 目前国内具有代表性的先进前沿的汽车检测技术

(1)虚拟仪器检测技术

虚拟仪器检测技术是指通过自由增减测试系统配置,利用系统配置单元器件,按照每一个项目测试的要求标准,可以直观和有效的得出监测结果,从而提高测试技术的效率。

(2)将GPS技术与车辆检测相结合

该技术主要是利用了能够接受卫星定位信号的GPS系统,将其与汽车检测技术系统相结合,从而达到快捷有效的检测过程。

(3)利用汽车四轮定位进行检测

四轮定位仪主要是依据车轮定位得到检测数据,它利用图像显示并记录汽车四轮的运作情况,与汽车检测数据结果分析相结合,从而达到检测目的。

4.国内汽车检测技术发展过程中存在的问题

4.1 国内汽车检测站的经营管理过程中存在行政干预问题

在我国,安全检测是由公安部门来建立管理的。因此我国的综合性能检测站都由交通部门直接建立并管理或者由地方企业建立但仍由交通部门管理。这种行政管理形式,往往造成了检测结果的不真实、检测过程的不规范或者检测项目不完善的情况,甚至是伪造一些监测数据。

4.2 我国汽车检测存在重复检测的问题

目前,我国有权对汽车进行检测的机构至少有三种,即安检站、机动车尾气排放检测站以及汽车综合性能检测站。这三个机构又分别归隶属于公安、环保、和交通管理部门。这些部门从各自的职能要求出发对车辆进行必要的检查和监测,容易造成车辆的重复检查,在加大汽车检测工作量的同时,给车主也带来不便。

4.3 检测技术有待进一步完善

目前,我国的进口汽车检测标准体系主要依赖于外国检测标准,因此针对我国汽车具体发展情况,我国的汽车检测技术有待进一步提高和完善。例如,我国目前的技术可以对车辆的正面、侧面、追尾等事故进行检测,但对侧面碰撞、追尾碰撞等事故却缺乏相关的检测标准。这也急需我国汽车检测技术的提高和完善。

4.4 我国汽车检测人员的整体专业能力和专业素质有待提高

一方面,我国的汽车检测人员的专业检测能力有待提高。一些检测人员本身缺乏基本的汽车知识,检测操作不规范,对检测结果的分析能力不够,不能很好的判断汽车是否达到检测标准。另一方面,我国汽车检测人员的自身素质不够,一些检测人员故意抬高检测收费标准,为了个人利益不顾集体利益,甚至为一些没有达到标准的车辆伪造数据。这些都是造成安全隐患的个人因素,也不利于我国检测技术的研发和推广。

5.解决国内汽车检测技术发展过程中的问题的有效措施

5.1 汽车检测技术基础实现规范化

在我国汽车检测技术的发展过程中,汽车检测的硬件技术一直以来都比汽车检测技术中的软件技术更受重视。这种想法往往会导致对一些基础性技术研究的忽略。因此,我国汽车检测技术的发展方向应该注重与硬件配套的软件检测技术的完善和提高。这方面主要做到三点:一,制定并完善汽车检测项目的限值标准和检测方法;二,完善汽车技术状况检测的评定细则,将全国各地的检测要求和具体操作技术进行统一和规范化;三,严格执行综合性能检测站对大型检测设备的认证规则,确保综合性能检测站有能力胜任并履行其检测职责。

5.2 汽车检测设备实现智能化

虽然目前我国的汽车检测技术以及检测设备的智能化与国外的检测存在一定的差距,但是我国汽车检测设备正积极学习并通过进口一些外国先进检测设备来提高并完善我国汽车检测设备的智能化。检测设备的智能化使检测设备具有专家检测和诊断系统以及智能化的功能,可以在较短时间较快较准确的对汽车状况进行检测,并诊断出汽车发生故障的部位以及故障原因,从而让维修人员能够迅速解除故障。节约了劳动成本,提高了劳动效率。

5.3 汽车检测管理实现网络化

随着计算机和网络技术的飞速发展,我国各个行业都在逐步实现其管理的网络化,汽车检测行业也不例外。目前,虽然我国的部分汽车综合性能检测站已经实现了计算机管理系统检测,但计算机监控系统并不完善,而且各个检测站之间采用的计算机检测方式也都一致。为了逐步实现我国汽车检测管理的一致性和有效性,我国汽车检测应该积极推进其管理的网络化。

6.总结

随着我国经济和社会的进步以及汽车工业的发展,我国汽车检测技术也必须不断的提高和完善。为了使汽车维修人员的工作越来越轻松,提高汽车检测结果准确性,我国汽车检测技术的发展越来越趋向于自动化、网络化和智能化。汽车检测技术的完善和提高有利于我国交通事业以及环保事业的发展,从而为我国经济和社会的发展提供良好的外在环境。

参考文献

[1] 初君浩;浅析汽车检测技术的发展[J];科技致富向导;2014(08)25.

[2] 王洪亮;汽车检测技术的若干问题的思考[J];无线互联科技;2013(12)15.

作者简介

张彦(1975-)女,汉族,山东菏泽人,助理工程师,大学学历,毕业于山东省委党校经济管理专业,研究方向为车辆检测、维修。

电动汽车灯光控制系统毕业论文

交通灯智能控制系统设计1.概述 当前,在世界范围内,一个以微电子技术,计算机和通信技术为先导的,以信息技术和信息产业为中心的信息革命方兴未艾。而计算机技术怎样与实际应用更有效的结合并有效的发挥其作用是科学界最热门的话题,也是当今计算机应用中空前活跃的领域。本文主要从单片机的应用上来实现十字路口交通灯智能化的管理,用以控制过往车辆的正常运作。2.过程分析 图1是一个十字路口示意图。分别用1、2、3、4表明四个流向的主车道,用A、B、C、P分别表示各主车道的左行车道、直行车道、右行车道以及人行道。用a、b、c、p分别表示左转、直行、右转和人行道的交通信号灯,如图2所示。交通灯闪亮的过程:路口1的车直行时的所有指示灯情况为:3a3b2p绿3c红+4a4b4c 3p全红+1c 绿1a1b4p红+2c绿2a2b1p红路口2的车直行时的所有指示灯情况为:4a4b3p绿4c红+ 1a1b1c 4p全红+ 2c绿2a2b1p红+3c绿3a3b2p红故路口3的车直行时的所有指示灯情况为:1a1b4p绿1c红+ 2a2b2c 1p全红+3c绿 3a3b2p红+4c 绿4a4b3p红故路口4的车直行时的所有指示灯情况为:2a2b1p绿2c红+3c3a3b2p全红+4c绿4a4b3p红+1c绿1a1b4p红 图1:十字路口交通示意图 图2:十字路口通行顺序示意图 图3:十字路口交通指示灯示意图 图4:交通灯控制系统硬件框图 3、硬件设计 本系统硬件上采用AT89C52单片机和可编程并行接口芯片8155,分别控制图2所示的四个组合。AT89C52单片机具有MCS-51内核,片内有8KB Flash、256字节RAM、6个中断源、1个串行口、最高工作频率可达24MHz,完全可以满足本系统的需要 ;与其他控制方法相比,所用器件可以说是比较简单经济的。硬件框图如下: 电路原理图 [PDF]4、软件流程图 图5:交通灯控制系统流程图 5、交通灯控制系统软件 ORG 0000H LJMP MAIN ORG 0100HMAIN: MOV SP,#60H; LCALL DIR ;调用日期、时间显示子程序LOOP: MOV P1,#0FFH LJMP TEST LCALL ROAD1 ;路口1的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 MOV P1,#0FFH ;恢复P1口高电平 LCALL RESET ;恢复8155各口为高电平 LCALL YELLOW1 ;路口1的车直行-->路口2的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 LCALL RESET ;恢复8155各口为高电平 MOV P1,#0FFH ;恢复P1口 LCALL ROAD2 ;路口2的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 LCALL RESET ;恢复8155A 、B口为高电? MOV P1,#0FFH ;恢复P1口高电平 LCALL YELLOW2 ;路口2的车直行-->路口3的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 LCALL RESET ;恢复8155A 、B口为高电? MOV P1,#0FFH ;恢复P1口高电平 LCALL ROAD3 ;路口3的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 LCALL RESET ;恢复8155A 、B口为高电? MOV P1,#0FFH ;恢复P1口高电平 LCALL YELLOW3 ;路口3的车直行-->路口4的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 LCALL RESET ;恢复8155各口为高电平 MOV P1,#0FFH ;恢复P1口高电平 LJMP TEST LCALL ROAD4 ;路口4的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 SETB P1.5 ;恢复P1.5高电平 SETB P1.4 ;恢复P1.4高电平 MOV DPTR,#0FFFFH ;恢复8155各口为高电平 LCALL YELLOW4 ;路口4的车直行-->路口1的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 SETB P1.6 ;恢复P1.6高电平 SETB P1.3 ;恢复P1.3高电平 MOV DPTR,#0FFFFH ;恢复8155各口为高电平 LJMP LOOP;路口1的车直行时各路口灯亮情况3a3b2p绿3c红+4a4b4c3p全红+1c绿1a1b4p红+2c绿2a2b1p红ROAD1: MOV DPTR,#7F00H ;置8155命令口地址;无关位为1) MOV A,#03H ;A口、B口输出,A口、B口为基本输入输出方式 MOVX @DPTR,A ;写入工作方式控制字 INC DPTR ;指向A口 MOV A,#79H ;1a1b4p红1c绿2a2b1p红 MOVX @DPTR,A INC DPTR ;指向B口 MOV A,#0E6H ;3a3b2p绿3c红4a4b3p红 MOVX @DPTR,A MOV P1,#0DEH ;4c红2c绿 RET 6、结语 本系统结构简单,操作方便;可现自动控制,具有一定的智能性;对优化城市交通具有一定的意义。本设计将各任务进行细分包装,使各任务保持相对独立;能有效改善程序结构,便于模块化处理,使程序的可读性、可维护性和可移植性都得到进一步的提高。6、参考资料 [1]韩太林,李红,于林韬;单片机原理及应用(第3版)。电子工业出版社,2005 [2]刘乐善,欧阳星明,刘学清;微型计算机接口技术及应用。华中理工大学出版社,2003 [3]胡汉才;单片机原理及其接口技术。清华大学出版社,2000 返回首页关闭本窗口

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ieee.std_logic_1164.all;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use ieee.std_logic_1164.all使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月2009.12.27library ieee;use ieee.std_logic_1164.all;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ieee.std_logic_1164.all;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

第一部分摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。关键词:电路 单行线制 系统 导线 各种车灯目录:(1)全车线路的连接原则(2)识读电路图的基本要求(3)以东风EQ1090型载货汽车线路为例全车线路的认读a.电源系统线b.起动系统线路c.点火系统线路d.仪表系统线路e.照明与信号系统线路(4)全车电路的导线(5)识读图注意事项论汽车电路的识读方法在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。灯光照明电路是指控制组合开关、前大灯和小灯的电路系统;信号电路是指控制组合开关、转弯灯和报警灯的电路系统;仪表电路是指点火开关、仪表板和传感器电路系统;启动电路是指点火开关、继电器、起动机电路系统;充电电路是指调节器、发电机和蓄电池电路系统。以上电路系统是必不可少的,构成全车电路的基本部分。辅助电路是指控制雨刮器、音响等电路系统。随着汽车用电装备的增加,例如电动座椅、电动门窗、电动天窗等,各种辅助电路将越来越多。旧式汽车电路比较简单,一般情况下,它们的正极线(俗称火线)分别与保险丝盒相接,负极线(俗称地线)共用,重要节点有三个,保险丝盒、继电器和组合开关,绝大部分电路系统的一端接保险丝或开关,另一端联接继电器或用电设备。但在现代汽车的用电装置越来越多的情况下,线束将会越来越多,布线将会越来越复杂。随着汽车电子技术的发展,现代汽车电路已经与电子技术相结合,采用共用多路控制装置,而不是象旧式汽车那样通过单独的导线来传送。使用多路控制装置,各用电负载发送的输入信号通过电控单元(ECU)转换成数字信号,数字信号从发送装置传输到接收装置,在接收装置转换成所需信号对有关元件进行控制。这样就需在保险丝、开关和用电设备之间的电路上添加一个多路控制装置(参阅广州雅阁后雾灯线路简图)。采用多路控制线路系统可。第二部分第二部分简要介绍了全车线路识读的原则、要求与方法以及电路用线的规格。主要针对其在东风EQ1090车型汽车电路与电器系统应用情况作了概括性的阐述。其包括了电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等主要部分进行了说明。通过对东风EQ1090车型的系统学习,为以后接触到各类不同车型打下个坚实的基础。一、全车线路的连接原则全车线路按车辆结构形式、电器设备数量、安装位置、接线方法不同而各有不同,但其线路一般都以下几条原则:(1)汽车上各种电器设备的连接大多数都采用单线制;(2)汽车上装备的两个电源(发电机与蓄电池)必须并联连接;(3)各种用电设备采用并联连接,并由各自的开关控制;(4)电流表必须能够检测蓄电池充、放电电流的大小。因此,凡是蓄电池供电时,电流都要经过电流表与蓄电池构成的回路。但是,对于用电量大且工作时间较短的起动机电流则例外,即启动电流不经过电流表;(5)各型汽车均陪装保险装置,用以防止发生短路而烧坏用电设备。了解上面的原则,对分析研究各种车型的电器线路以及正确判断电器故障很有帮助。二、基本要求一般来讲全车电路有三种形式,即:线路图、原理图、线束图。(一)、识读电路图的基本要求了解全车电路,首先要识读该车的线路图,因为线路图上的电器是用图形符号以及外形表示的,容易识别。此外,线路图上的电器设备的位置与实际车上的位置是对应的,容易认清主要设备在车上的实际位置,同时,也可对设备的功能获得感性认识。识读电路图时,应按照用电设备的功用,识别主要用电设备的相对分布位置;识别用电设备的连接关系,初步了解单元回路的构成;了解导线的类型以及电流的走向。(二)、识读原理图的基本要求原理图是一图形符号方式,把全车用电设备、控制器、电源等按照一定顺序连接而成的。它的特点是将各单元回路依次排列,便于从原理上分析和认识汽车电路。识读原理图时,应了解全车电路的组成,找出各单元回路的电流通路,分析回路的工作过程。(三)、识读线束图的基本要求线束图是用来说明导线在车辆上安装的指导图。图上每根导线所注名的颜色与标号就是实际车上导线的颜色和到端子的所印数字。按次数字将导线接在指定的相关电器设备的接线柱上,就完成了连接任务。即使不懂原理,也可以按次接线。总上所述,掌握汽车全车线路(总线路),应按以下步骤进行:(1)对该车所使用的电气设备结构、原理有一定了解,知道他的规格。(2)认真识读电路图,达到了解全车所使用电气设备的名称、数量和实际安排位置;设备所用的接线柱数量、名称等。(3)识读原理图应了解主要电气设备的各接线柱和那些电器设备的接线柱相连;该设备分线走向;分线上开关、熔断器、继电器的作用;控制方式与过程。(4)识读线束图应了解该车有多少线束,各线束名称及在车上的安装位置;每一束的分支同向哪个电器设备,每分支又有几根导线及他们的颜色与标号,连接在那些接线柱上;该车有那些插接器以及他们之间的连接情况。(5)抓住典型电路,触类旁通。汽车电路中有许多部分是类似的,都是性质相同的基本回路,不同的只是个别情形。三、全车线路的认读下面以东风EQ1090型载货汽车线路为例,分析说明各电子系统电路的特点。东风EQ1090型载货汽车全车线路主要由电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等组成。(一)电源系统线路电源系统包括蓄电池、交流发电机以及调节器,东风EQ1090汽车配装电子式电压调节器,电源线路如图。其特点如下:(1)发电机与蓄电池并联,蓄电池的充放电电流由电流表指示。接线时应注意电流表的-端接蓄电池正极,电流表的+端与交流发电机‘电枢’接线柱A或B连接,用电设备的电流也由电流表+端引出,这样电流表才能正确指示蓄电池的充、放电电流值。(2)蓄电池的负极经电源总开关控制。当发电机转速很低,输出电压没有达到规定电压时,由蓄电池向发电机供给磁场电流。(二)起动系统线路启动系统由蓄电池、启动机、启动机继电器(部分东风EQ1090型汽车配装复合继电器)组成,系统线路如图。启动发动机时,将点火开关置于“启动”档位,启动继电器(或复合继电器)工作,接通起动机电磁开关电路,从而接通起动机与蓄电池之间得电路,蓄电池便向起动机供给400~600A大电流,起动机产生驱动转矩将发动机起动。发动机起动后,如果驾驶员没有及时松开点火开关,那么由于交流发电机电压升高,其中性点电压达5V时,在复合继电器的作用下,起动机的电磁开关将自动释放,切断蓄电池与起动电动机之间的电路,起动机便会自动停止工作。根据国家标准GB9420--88的规定,汽车用起动电动机电路的电压降(每百安的培的电压差)12V电器系统不得超过0.2V,24V电器系统不的超过 0.4V。因此,连接启动电动机与蓄电池之间的电缆必须使用具有足够横截面积的专用电缆并连接牢固,防止出现接触不良现象。(三)点火系统线路点火系统包括点火线圈、分电器、点火开关与电源。系统线路如图,其特点:(1)在低压电路中串有点火开关,用来接通与切断初级绕组电流;(2)点火线圈有两个低压接线端子,其中‘-’或‘1’端子应当连接分电器低压接线端子,“+”或“15”端子上连接有两根导线,其中来自起动机电磁开关的蓝色导线,(注:个别车型因出厂年代不同其导线颜色有可能不同)应当连接电磁开关的附加电阻短路开关端子“15a”;白色导线来自点火开关,该导线为附加电阻(电阻值为1.7欧姆左右)所以不能用普通导线代替。起动发动机时,初级电流并不经过白色导线,而是由蓄电池经起动电磁开关与蓝色导线直接流入点火线圈,使附加电阻线被短路,从而减小低压电路电阻,增大低压电流,保证发动机能顺利起动。(3)在高压电路中,由分电器至各火花塞的导线称为高压导线,连接时必须按照气缸点火顺序依次连接。(四)仪表系统线路仪表系统包括电流表、油压表、水温表、燃油表与之匹配的传感器,系统线路如图所示。其特点如下:(1)电流表串联在电源电路里,用来指示蓄电池充、放电电流的大小。其他几种仪表相互并联,并由点火开关控制。(2)水温表与燃油表共用一只电源稳压器,其目的是当电源电压波动时起到稳压仪表电源的作用,保证水温表与燃油表读数准确。电源稳压器的输出电压为8.64V+/-0.15V。报警装置有油压过低报警灯和气压过低蜂鸣器,分别由各自的报警开关控制。当机油压力低于50~90kpa时,油压过低报警开关触电闭合,油压过低指示灯电路接通而发亮,指示发动机主油道机油压力过低,应及时停车维修。东风EQ1090型汽车采用气压制动系统,当制动系统的气压下降到340~370kpa时,气压过低蜂鸣器鸣叫,以示警告。(五)照明与信号系统线路照明与信号系统包括全车所有照明灯、灯光信号与音响信号,系统线路如图所示。其特点如下:(1)前照灯为两灯制,并采用双丝灯泡;(2)前照灯外侧为前侧灯,采用单灯丝,其光轴与牵照灯光轴成20度夹角,即分别向左右偏斜20度。因此,在夜间行车时,如果前照灯与前侧灯同时点亮,那么汽车正前方与左右两侧的较大范围内都有较好的照明,即使在汽车急转弯时,也能照亮前方的路面,从而大大改善了汽车在弯道多、转弯急的道路上行驶时的照明条件;(3)前照灯、前下灯、前侧灯及尾灯均由手柄式车灯开关控制;(4)设有灯光保护线路;(5)制动信号灯不受车灯总开关控制,直接经熔断丝与电源连接,只要踩下制动踏板,制动邓开关就会接通制动灯电路使制动灯发亮;(6)转向信号灯受转向灯开关控制;(7)电喇叭由喇叭按钮和喇叭继电器控制

Micrologix1000 PLC在交通灯控制上的应用 PLC技术及其在公路交通系统中的应用 用PLC实现智能交通控制 1 引言 据不完全统计,目前我国城市里的十字路口交通系统大都采用定时来控制(不排除繁忙路段或高峰时段用交警来取代交通灯的情况),这样必然产生如下弊端:当某条路段的车流量很大时却要等待红灯,而此时另一条是空道或车流量相对少得多的道却长时间亮的是绿灯,这种多等少的尴尬现象是未对实际情况进行实时监控所造成的,不仅让司机乘客怨声载道,而且对人力和物力资源也是一种浪费。 智能控制交通系统是目前研究的方向,也已经取得不少成果,在少数几个先进国家已采用智能方式来控制交通信号,其中主要运用GPS全球定位系统等。出于便捷和效果的综合考虑,我们可用如下方案来控制交通路况:制作传感器探测车辆数量来控制交通灯的时长。具体如下:在入路口的各个方向附近的地下按要求埋设感应线圈,当汽车经过时就会产生涡流损耗,环状绝缘电线的电感开始减少,即可检测出汽车的通过,并将这一信号转换为标准脉冲信号作为可编程控制器的控制输入,并用PLC计数,按一定控制规律自动调节红绿灯的时长。 比较传统的定时交通灯控制与智能交通灯控制,可知后者的最大优点在于减缓滞流现象,也不会出现空道占时的情形,提高了公路交通通行率,较全球定位系统而言成本更低。

相关百科

热门百科

首页
发表服务