您当前的位置:首页 > 发表论文>论文发表

汽车灯具毕业论文

2023-03-13 08:28 来源:学术参考网 作者:未知

汽车灯具毕业论文

交通灯智能控制系统设计1.概述

当前,在世界范围内,一个以微电子技术,计算机和通信技术为先导的,以信息技术和信息产业为中心的信息革命方兴未艾。而计算机技术怎样与实际应用更有效的结合并有效的发挥其作用是科学界最热门的话题,也是当今计算机应用中空前活跃的领域。本文主要从单片机的应用上来实现十字路口交通灯智能化的管理,用以控制过往车辆的正常运作。

2.过程分析

图1是一个十字路口示意图。分别用1、2、3、4表明四个流向的主车道,用A、B、C、P分别表示各主车道的左行车道、直行车道、右行车道以及人行道。用a、b、c、p分别表示左转、直行、右转和人行道的交通信号灯,如图2所示。

交通灯闪亮的过程:

路口1的车直行时的所有指示灯情况为:
3a3b2p绿3c红+4a4b4c 3p全红+1c 绿1a1b4p红+2c绿2a2b1p红

路口2的车直行时的所有指示灯情况为:
4a4b3p绿4c红+ 1a1b1c 4p全红+ 2c绿2a2b1p红+3c绿3a3b2p红

故路口3的车直行时的所有指示灯情况为:
1a1b4p绿1c红+ 2a2b2c 1p全红+3c绿 3a3b2p红+4c 绿4a4b3p红

故路口4的车直行时的所有指示灯情况为:
2a2b1p绿2c红+3c3a3b2p全红+4c绿4a4b3p红+1c绿1a1b4p红
图1:十字路口交通示意图 图2:十字路口通行顺序示意图 图3:十字路口交通指示灯示意图 图4:交通灯控制系统硬件框图 3、硬件设计

本系统硬件上采用AT89C52单片机和可编程并行接口芯片8155,分别控制图2所示的四个组合。AT89C52单片机具有MCS-51内核,片内有8KB Flash、256字节RAM、6个中断源、1个串行口、最高工作频率可达24MHz,完全可以满足本系统的需要 ;与其他控制方法相比,所用器件可以说是比较简单经济的。硬件框图如下: 电路原理图 [PDF]4、软件流程图 图5:交通灯控制系统流程图 5、交通灯控制系统软件
ORG 0000H
LJMP MAIN
ORG 0100H
MAIN:
MOV SP,#60H
; LCALL DIR ;调用日期、时间显示子程序
LOOP:
MOV P1,#0FFH
LJMP TEST
LCALL ROAD1 ;路口1的车直行时各路口灯亮情况
LCALL DLY30s ;延时30秒
MOV P1,#0FFH ;恢复P1口高电平
LCALL RESET ;恢复8155各口为高电平
LCALL YELLOW1 ;路口1的车直行-->路口2的车直行黄灯亮情况
LCALL DLY5s ;延时5秒
LCALL RESET ;恢复8155各口为高电平
MOV P1,#0FFH ;恢复P1口
LCALL ROAD2 ;路口2的车直行时各路口灯亮情况
LCALL DLY30s ;延时30秒
LCALL RESET ;恢复8155A 、B口为高电?
MOV P1,#0FFH ;恢复P1口高电平
LCALL YELLOW2 ;路口2的车直行-->路口3的车直行黄灯亮情况
LCALL DLY5s ;延时5秒
LCALL RESET ;恢复8155A 、B口为高电?
MOV P1,#0FFH ;恢复P1口高电平
LCALL ROAD3 ;路口3的车直行时各路口灯亮情况
LCALL DLY30s ;延时30秒
LCALL RESET ;恢复8155A 、B口为高电?
MOV P1,#0FFH ;恢复P1口高电平
LCALL YELLOW3 ;路口3的车直行-->路口4的车直行黄灯亮情况
LCALL DLY5s ;延时5秒
LCALL RESET ;恢复8155各口为高电平
MOV P1,#0FFH ;恢复P1口高电平
LJMP TEST
LCALL ROAD4 ;路口4的车直行时各路口灯亮情况
LCALL DLY30s ;延时30秒
SETB P1.5 ;恢复P1.5高电平
SETB P1.4 ;恢复P1.4高电平
MOV DPTR,#0FFFFH ;恢复8155各口为高电平
LCALL YELLOW4 ;路口4的车直行-->路口1的车直行黄灯亮情况
LCALL DLY5s ;延时5秒
SETB P1.6 ;恢复P1.6高电平
SETB P1.3 ;恢复P1.3高电平
MOV DPTR,#0FFFFH ;恢复8155各口为高电平
LJMP LOOP
;路口1的车直行时各路口灯亮情况3a3b2p绿3c红+4a4b4c3p全红+1c绿1a1b4p红+2c绿2a2b1p红
ROAD1:
MOV DPTR,#7F00H ;置8155命令口地址;无关位为1)
MOV A,#03H ;A口、B口输出,A口、B口为基本输入输出方式
MOVX @DPTR,A ;写入工作方式控制字
INC DPTR ;指向A口
MOV A,#79H ;1a1b4p红1c绿2a2b1p红
MOVX @DPTR,A
INC DPTR ;指向B口
MOV A,#0E6H ;3a3b2p绿3c红4a4b3p红
MOVX @DPTR,A
MOV P1,#0DEH ;4c红2c绿
RET 6、结语

本系统结构简单,操作方便;可现自动控制,具有一定的智能性;对优化城市交通具有一定的意义。
本设计将各任务进行细分包装,使各任务保持相对独立;能有效改善程序结构,便于模块化处理,使程序的可读性、可维护性和可移植性都得到进一步的提高。
6、参考资料
[1] 韩太林,李红,于林韬;单片机原理及应用(第3版)。电子工业出版社,2005
[2] 刘乐善,欧阳星明,刘学清;微型计算机接口技术及应用。华中理工大学出版社,2003
[3] 胡汉才;单片机原理及其接口技术。清华大学出版社,2000 返回首页关闭本窗口

汽车尾灯控制时序逻辑电路设计毕业论文

  基于VHDL语言的汽车尾灯控制电路的设计

  摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。
  关键词: VHDL 汽车尾灯控制 时钟信号
  1. 尾灯控制电路总框图,

  根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。
  2.模块KONG。
  模块KONG如图所示,此为整个程序的控制模块。程序如下:

  Library ieee;
  Use ieee.std_logic_1164.all;
  Entity kong is
  Port(left,right:in std_logic;
  Lft,rit,lr:out std_logic);
  End kong;
  Architecture kong_logic of kong is
  Begin
  Process(left,right)
  Variable a:std_logic_vector(1 downto 0);
  Begin
  A:=left & right;
  Case a is
  When”00”=>lft<=’0’;
  Rit<=’0’;
  Lr <=’0’;
  When”10”=>lft<=’1’;
  Rit<=’0’;
  Lr <=’0’;
  When”01”=>rit<=’1’;
  Lft<=’0’;
  Lr <=’0’;
  When other=>rit<=’1’;
  lft<=’1’;
  lr<=’1’;
  end case;
  end process;
  end kong_arc;

  控制模块首先使用了库说明语句:library ieee;
  Use ieee.std_logic_1164.all
  使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。
  3. 模块LFTA

  源程序:
  Library ieee;
  Use ieee.std_logic_1164.all;
  Entity lfta is
  Port(en,clk,lr:in std_logic;
  L2,l1,l0:out std_logic);
  End lfta;
  Architecture lft_arc of lfta is
  Begin
  Process(clk,en,lr)
  Variable tmp:std_logic_vector(2 downto 0);
  Begin
  If lr=’1’ then
  Tmp:=”111”;
  Elsif en=’0’ then
  Tmp:=”000”;
  Elsif clk’event and clk=’1’ then
  If tmp=”000” then
  Tmp:=”001”;
  Else
  Tmp:=tmp(1 downto 0) & ‘0’;
  End if ;
  End if;
  L2<=tmp(2);
  L1<=tmp(1);
  L0<=tmp(0);
  End process;
  End lft_arc;
  模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。
  4.模块RITA

  源程序:
  Library ieee;
  Use ieee.std_logic_1164.all;
  Entity rita is
  Port(en,clk,lr:in std_logic;
  R2,r1,r0:out std_logic);
  End rita;
  Architecture rit_arc of rita is
  Begin
  Process(clk,en,lr)
  Variable tmp:std_logic_vector(2 downto 0);
  Begin
  If lr=’1’ then
  Tmp:=”111”;
  Elsif en=’0’ then
  Tmp:=”000”;
  Elsif clk’event and clk=’1’ then
  If tmp=”000” then
  Tmp:=”100”;
  Else
  Tmp:=’0’ & tmp(2 downto 1);
  End if;
  End if ;
  R2<=tmp(2);
  R1<=tmp(1);
  R0<=tmp(0);
  End process;
  End rit_arc;
  和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。
  5.结论:
  本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;
  设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。
  设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。
  6.参考资料:
  王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月
  彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月
  潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月

  2009.12.27

  library ieee;
  use ieee.std_logic_1164.all;

  entity ZHUKONG is
  Port(left,right:in std_logic;
  Lft,rit,lr:out std_logic);
  end;

  architecture kong_arc of ZHUKONG is
  begin

  Process(left,right)
  Variable a:std_logic_vector(1 downto 0);
  Begin
  A:=left & right;
  Case a is
  When"00"=>lft<='0';
  Rit<='0';
  Lr <='0';
  When"10"=>lft<='1';
  Rit<='0';
  Lr <='0';
  When"01"=>rit<='1';
  Lft<='0';
  Lr <='0';
  When others=>rit<='1';
  lft<='1';
  lr<='1';
  end case;
  end process;
  end kong_arc;

  library ieee;
  use ieee.std_logic_1164.all;

  entity LFTA is
  Port(en,clk,lr:in std_logic;
  L2,l1,l0:out std_logic);

  end;

  architecture lft_arc of LFTA is
  begin
  Process(clk,en,lr)
  Variable tmp:std_logic_vector(2 downto 0);
  Begin
  If lr='1' then
  Tmp:="111";
  Elsif en='0' then
  Tmp:="000";
  Elsif clk'event and clk='1' then
  If tmp="000" then
  Tmp:="001";
  Else
  Tmp:=tmp(1 downto 0) & '0';
  End if;
  End if;
  L2<=tmp(2);
  L1<=tmp(1);
  L0<=tmp(0);
  End process;
  end lft_arc;

  library ieee;
  use ieee.std_logic_1164.all;

  entity RITA is
  Port(en,clk,lr:in std_logic;
  R2,r1,r0:out std_logic);
  end;

  architecture rit_arc of RITA is
  begin
  Process(clk,en,lr)
  Variable tmp:std_logic_vector(2 downto 0);
  Begin
  If lr='1' then
  Tmp:="111";
  Elsif en='0' then
  Tmp:="000";
  Elsif clk'event and clk='1' then
  If tmp="000" then
  Tmp:="100";
  Else
  Tmp:='0' & tmp(2 downto 1);
  End if;
  End if ;
  R2<=tmp(2);
  R1<=tmp(1);
  R0<=tmp(0);
  End process;
  end rit_arc;

求一篇汽车专业毕业论文8000---12000字 读的是成人教育

汽车故障原因诊断综合分析法
【论文关键词】汽车故障 综合判断
【论文摘要】分析了汽车故障原因及部位(全车各部位、机械、电气、油、气等),并提出了科学合理的判断方法,即故障概率顺序排列法及辅助判断法,可迅速地确定故障所在部位。结果表明,汽车发生故障的可能性主要取决于产品质量,可靠性高的产品其出现故障的部位往往是正常思维可以想到的;而产品质量有缺陷的车型,故障部位往往出现在人们正常思维无法判断的。利用综合分析方法,对判断车辆故障具有重要指导价值。
  一、原因分析
  一辆奔驰560SEL轿车因气门异响更换新摇臂后出现怠速剧烈抖动的情况。按照一般思维过程,只拆装过摇臂、凸轮轴,查找故障应当首先考虑这几个部位,如果顺着这条线索查找下去,也许很快就可以排除故障。但遗憾的是修理工在断火试验时发现至少有三个缸工作不良,他当然想到只更换了一个缸的摇臂,即便是有故障也不会引起这三个缸都不工作,故障原因可能在于其他方面。根据经验,可能原因排列:
  (1)废气再循环(EGR)系统故障,废气大量进入气缸(此项可能性最大)。
  (2)进气系统漏气,混合气太稀,怠速工作不良(此项可能性居中)
  (3)更换摇臂型号(质量)有缺陷(此项可能性最小)。
  二、故障判断方法
  (1)检查废气再循环系统。将EGR阀上真空管去掉,故障依旧;再将EGR阀从发动机上拆下,发现该阀锈蚀严重,废气通道与进气通道根本就不通,废气并未进入气缸,可能性最大的一项成为不可能。接下来检查进气系统,没有发现有漏气的地方,第二种可能性也被排除。对于第三种可能性,即使最终发现是摇臂的问题,对于本次维修而言,也不能算是一次圆满成功的维修,因为到这个时候检修工作已进行了半天,车主对此已有所不满,当然最终发现确实是摇臂型号不对,与气门的接触面新摇臂比旧摇臂高约2mm,磨去一段后修正至标准值,重新装复后发动机怠速平稳,故障排除。如若检修车辆是在拆装、调整后出现的故障,应当首先对这部分进行检查,而不能按常规步骤来进行。时隔不久一辆新款丰田(CARMY 2.2)轿车因行车时捣缸,发动机损坏而入厂维修。更换新缸体及其他部件后试机起动,发动机却始终无法起动。在起动机带动发动机运转的过程中,发动机不是回火即是放炮,象是点火错乱,检查高压线也并未插错(该发动机为直接点火)。吸取上一次教训,不能盲目检查,先询问修理工拆装发动机时有何异常情况,修理工回答在曲轴上有一齿轮形传感器,分解发动机时因生锈无法从曲轴上拆下,强行撬下来后发现有一个齿开裂,用502胶粘牢后又装上,结果出现上述故障。根据所获得的信息,让修理工将曲轴位置传感器转子从车上拆下,仔细检查并未看出有明显异常,粘接处也几乎看不出痕迹来;但对于人自身看不出来的故障及零件缺陷,电脑未必不会监测到,因为修理厂条件所限,无法用示波器观察到传感器输出波形,但对于本车所述故障,从概率方面分析,我仍认为曲轴位置传感器转子损坏具有最大可能性。
  (2)电脑损坏。但众所周知,即使电脑可以输出正常代码,也不能绝对地认为电脑一定正常,但这种可能性较小。
  (3)气缸压力不足。但在配气相位正确的情况下,四个气缸同时出现压力不足的情况的可能性也较小。经以上分析,建议修理厂购买新曲轴位置传感器转子,次日新件到货,装车一试立即着车。
  三、故障概率分析法
  一辆一汽生产的奥迪轿车出现蓄电池亏电的现象,在车库里放3、4天后蓄电池里的电几乎全部放完。修理工起初以为蓄电池失效,因自放电而亏电,换新蓄电池后故障依旧,修理人员几乎检查了所有部件,仍未查出故障,最后得出的结论是将第四个保险拔出,蓄电池即停止亏电。第四个保险所涉及内容包括:室内灯、阅读灯、点烟器、钟表、收音机、行李舱灯、空调指示灯。首先确定故障是否存在,点火开关关闭,将蓄电池负极断开再接上,可以看到蓝色电火花,证明确实存在较大电流放电。接下来并不急于检查故障部位,而是对第四个保险丝所涉及内容作一故障概率分析。
  (1)点烟器不能自动弹出:将前后两个点烟器拔出,故障依旧,此项可能性被排除。
  (2)室内灯、阅读灯、钟表、收音机、空调指示灯均可正常工作,但不能确定在点火开关关闭后其消耗电流是否正常,此项可能性居中。
  (3)第四个保险丝所涉及线路有短路、搭铁处,消耗电流,此项可能性同上居中。用数字万用表测量第四个保险丝所消耗电流(点火开关关闭)为0.3l A,粗略估算其功率12Vx0.3A=3.6W,其功率与行李舱照明灯接近,但行李舱钥匙被司机带走,无法打开检查,修理工建议拆下仪表检查钟表、收音机及相关线路,但笔者认定行李舱灯损坏可能性最大,要求修理厂先检查行李舱灯,检查其他部位可能费力不讨好。次日从修理厂得到消息:确实是行李舱灯烧坏:灯开关座下陷,即使关上行李舱盖灯泡仍不能熄灭,灯泡已烧坏发白,但灯丝未断,因而始终消耗电流。换新灯泡并修复开关座,故障排除。四、辅助诊断法
  (1)眼观。观察仪表:观察电流、机油压力表、水温表和汽油指示表等指示车辆有关部位的工作情况,如发现显示数字异常,说明该部件出了问题。察看外观:如发动机排烟过多,排烟颜色异常;某些部件出现漏水、漏气、漏油、漏电等现象;车架车身变形,各部件间隙过大或过小。察扯油液:常规的油、液、媒检查不可忽视。机油、自动变速箱油、转向助力器油、齿轮油、制动液、冷却液、玻璃水、冷媒等油液的检查的车辆正常运行的保证,相关批示灯亮起,或是发现有缺少,要及时补充。察看颜色:通过察看车用零件液体的品质来判断故障。如某辆车自动变速器油颜色变紫,而且有少量浑浊物,可判断是自动变速器故障而不是发动机动力不足。
  (2)耳听。发动机:由于不断变换油门,发动机发出的响声也是不相同的,要仔细听发动机声音有无异常。底盘:不断改换行驶速度,传动系的响声一般随车速的提高而增大,但当车速提高到一定程度后,有些响声反而减弱,甚至消失。分清响声的类型:如连响与间断响;脆响与闷响;有规则与无规则的响,并确认哪些是正常的,哪些是异常的。
  (3)鼻闻。焦臭味:是制动拖滞,离合器打滑所致。烧机油、烧制动液能引起特殊气味。电器工作时烧毁线路会发出焦皮味。闻味的方法用的得当,可为诊断故障提供指导作用。
  (4)手摸。用手摸制动鼓、后桥壳、变速器外壳来判断该部件的温度: 如手摸感到发热,温度大约40℃左右。感到烫手,但能坚持几分钟,温度约在50℃~60℃左右。手根本不能忍受,温度至少达80℃以上。
  (5)隔离。部分的间隔,或隔断某些系统与某些部件的工作,以此来确定故障范围。如隔断某部件后,故障消失,说明故障发生在此部件;如故障还存在,则说明故障不此处。发动机:隔断某个缸(断火或断油),如果排烟消失或减少,则该缸有故障。底盘:如诊断底盘异响,可将变速杆放在空档位上,不断地接通和分开离合器,根据响声的变化来分析响声是发生在离合器还是变速器。电气:如某灯不亮,可将该灯与蓄电池直接接通,若灯亮,则说明连接该灯的导线发生了故障。
  (6)试探。如诊断气门异响。若怀疑气门间隙过大所致,可用厚薄规检查,并调整规定值,若异响消失,即判断正确。若响声依然存在,再继续查找其他部位。
  (7)比较。当某缸不工作时,如怀疑是火花塞问题,可交该火花塞与正常工作的火花塞对换,若故障转移,说明故障出于原火花塞。
  五、结束语
  在汽车故障诊断中,经常会遇到花费较长时间检查故障所涉及的部位仍未能查出故障,即使能够查出故障,在时间、精力方面也可能得不偿失;如果采用概率分析法则能够迅速、准确地确定故障,为客户节省时间的同时提高了自身的声誉。在汽车维修中,除了用仪表、检修仪器和工具对汽车进行诊断外,还应结合简易的人工诊断,对汽车故障诊断具有重要价值。
  参考文献:
  [1] 汽车工程手册[M].北京:人民交通出版社,2001.转

8051单片机交通灯控制系统设计毕业论文

家用可燃气体报警器的设计
·基于数字温度计的多点温度检测系统
·基于凌阳单片机的语音实时采集系统设计
·基于单片机的数字频率计的设计
·基于单片机的数字电子钟设计
·设施环境中温度测量电路设计
·汽车倒车防撞报警器的设计
·篮球赛计时记分器
·基于单片机的家用智能总线式开关设计
·设施环境中湿度检测电路设计
·基于单片机的音乐合成器设计
·设施环境中二氧化碳检测电路设计
·基于单片机的水温控制系统设计
·基于单片机的数字温度计的设计
·基于单片机的火灾报警器
·基于单片机的红外遥控开关设计
·基于单片机的电子钟设计
·基于单片机的红外遥控电子密码锁
·大棚温湿度自动监控系统
·基于单片机的电器遥控器的设计
·单片机的语音存储与重放的研究
·基于单片机的电加热炉温度控制系统设计
·红外遥控电源开关
·基于单片机的低频信号发生器设计
·基于单片机的呼叫系统的设计
·基于PIC16F876A单片机的超声波测距仪
·基于单片机的密码锁设计
·单片机步进电机转速控制器的设计
·由AT89C51控制的太阳能热水器
·防盗与恒温系统的设计与制作
·AT89S52单片机实验系统的开发与应用
·基于单片机控制的数字气压计的设计与实现
·智能压力传感器系统设计
·智能定时器
·基于单片机的智能火灾报警系统
·基于单片机的电子式转速里程表的设计
·公交车汉字显示系统
·单片机数字电压表的设计
·精密VF转换器与MCS-51单片机的接口技术
·基于单片机的居室安全报警系统设计
·基于89C2051 IC卡读/写器的设计
·PC机与单片机串行通信毕业论文
·球赛计时计分器 毕业设计论文
·松下系列PCL五层电梯控制系统
我这有,肯定有你满意的
QQ
89 ........................................后面接着输入......
36........................................后面接着输入......
28........................................后面接着输入......
136
(4行连着输入就是我的QQ)

汽车照明系统的工作原理

汽车照明系统是汽车安全行驶的必备系统之一。它主要包括“外部照明灯具、内部照明灯具、外部信号灯具、内部信号灯具等。

汽车灯具按照功能功用划分,主要有两个种类:“汽车照明灯和汽车信号灯”。
汽车照明灯按照其安装的位置及功用包括:“ 前照灯、雾灯、牌照灯、仪表灯 、顶灯、工作灯”。
汽车灯光信号灯又包括:“ 转向信号灯、危险报警灯、示宽灯、尾灯、制动灯 、倒车灯”。

前照灯又叫前大灯,装于汽车头部两侧,用于夜间行车道路的照明。有两灯制和 四灯制之分。每辆车安装2只或4只,装于外侧的一对应为近、远光双光束灯,装 于内侧的一对应为远光单光束灯。

雾灯安装于汽车的前部和后部。用于在雨雾天气行车时照明道路和为迎面来车及 后面来车提供信号。前雾灯安装在前照灯附近,一般比前照灯的位置稍低,因为 雾天能见度低,驾驶员视线受到限制。红色和黄色是穿透力最强的颜色,前雾灯 光色为黄色,这是因为黄色光光波较长,具有良好的透雾性能,灯泡功率一般为 35W 。

倒车灯装于汽车尾部,用于倒车时汽车后方道路照明和警告其他车辆和行人,表 示该车正在倒车,兼有灯光信号装置的功能。倒,灯光光色为白色,功率一般为 28W。等等。

为了保证汽车夜间行驶的安全,以及提高其行驶速度,在汽车上装有多种照明设备和灯光信号装置,俗称灯系,它已成为汽车上不可缺少的一部分。汽车报警装置主要用于指示汽车某系统的工作状况,保证行车安全。汽车照明系统是为了保证汽车在夜间及能见度较低的情况下安全、高速行驶,改善车内驾乘环境,便于交通安全管理和车辆使用检修等。

相关文章
学术参考网 · 手机版
https://m.lw881.com/
首页