您当前的位置:首页 > 计算机论文>通信论文

基于FPGA的LCD&VGA控制器设计

2015-07-07 09:36 来源:学术参考网 作者:未知
摘要:介绍了基于fpga的图形式lcd&vga控制器的设计,详细讨论了用vhdl设计行场扫描时序的方法,这种设计方法稍作改动便可产生任意行场扫描时序,具有很好的可重用性。该控制器已成功地在某型飞机座舱图形显示系统中使用。

>signal hz_temp : std_logic;
相关文章
学术参考网 · 手机版
https://m.lw881.com/
首页