首页

> 学术期刊知识库

首页 学术期刊知识库 问题

汽车车灯的设计毕业论文

发布时间:

汽车车灯的设计毕业论文

要求多少字呢?详细要求私聊

第一部分摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。关键词:电路 单行线制 系统 导线 各种车灯目录:(1)全车线路的连接原则(2)识读电路图的基本要求(3)以东风EQ1090型载货汽车线路为例全车线路的认读a.电源系统线b.起动系统线路c.点火系统线路d.仪表系统线路e.照明与信号系统线路(4)全车电路的导线(5)识读图注意事项论汽车电路的识读方法在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。灯光照明电路是指控制组合开关、前大灯和小灯的电路系统;信号电路是指控制组合开关、转弯灯和报警灯的电路系统;仪表电路是指点火开关、仪表板和传感器电路系统;启动电路是指点火开关、继电器、起动机电路系统;充电电路是指调节器、发电机和蓄电池电路系统。以上电路系统是必不可少的,构成全车电路的基本部分。辅助电路是指控制雨刮器、音响等电路系统。随着汽车用电装备的增加,例如电动座椅、电动门窗、电动天窗等,各种辅助电路将越来越多。旧式汽车电路比较简单,一般情况下,它们的正极线(俗称火线)分别与保险丝盒相接,负极线(俗称地线)共用,重要节点有三个,保险丝盒、继电器和组合开关,绝大部分电路系统的一端接保险丝或开关,另一端联接继电器或用电设备。但在现代汽车的用电装置越来越多的情况下,线束将会越来越多,布线将会越来越复杂。随着汽车电子技术的发展,现代汽车电路已经与电子技术相结合,采用共用多路控制装置,而不是象旧式汽车那样通过单独的导线来传送。使用多路控制装置,各用电负载发送的输入信号通过电控单元(ECU)转换成数字信号,数字信号从发送装置传输到接收装置,在接收装置转换成所需信号对有关元件进行控制。这样就需在保险丝、开关和用电设备之间的电路上添加一个多路控制装置(参阅广州雅阁后雾灯线路简图)。采用多路控制线路系统可。第二部分第二部分简要介绍了全车线路识读的原则、要求与方法以及电路用线的规格。主要针对其在东风EQ1090车型 汽车电路与电器系统应用情况作了概括性的阐述。其包括了电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等主要部分进行了说明。通过对东风EQ1090车型的系统学习,为以后接触到各类不同车型打下个坚实的基础。一、全车线路的连接原则全车线路按车辆结构形式、电器设备数量、安装位置、接线方法不同而各有不同,但其线路一般都以下几条原则:(1)汽车上各种电器设备的连接大多数都采用单线制;(2)汽车上装备的两个电源(发电机与蓄电池)必须并联连接;(3)各种用电设备采用并联连接,并由各自的开关控制;(4)电流表必须能够检测蓄电池充、放电电流的大小。因此,凡是蓄电池供电时,电流都要经过电流表与蓄电池构成的回路。但是,对于用电量大且工作时间较短的起动机电流则例外,即启动电流不经过电流表;(5)各型汽车均陪装保险装置,用以防止发生短路而烧坏用电设备。了解上面的原则,对分析研究各种车型的电器线路以及正确判断电器故障很有帮助。二、基本要求一般来讲全车电路有三种形式,即:线路图、原理图、线束图。(一)、识读电路图的基本要求了解全车电路,首先要识读该车的线路图,因为线路图上的电器是用图形符号以及外形表示的,容易识别。此外,线路图上的电器设备的位置与实际车上的位置是对应的,容易认清主要设备在车上的实际位置,同时,也可对设备的功能获得感性认识。识读电路图时,应按照用电设备的功用,识别主要用电设备的相对分布位置;识别用电设备的连接关系,初步了解单元回路的构成;了解导线的类型以及电流的走向。(二)、识读原理图的基本要求原理图是一图形符号方式,把全车用电设备、控制器、电源等按照一定顺序连接而成的。它的特点是将各单元回路依次排列,便于从原理上分析和认识汽车电路。识读原理图时,应了解全车电路的组成,找出各单元回路的电流通路,分析回路的工作过程。(三)、识读线束图的基本要求线束图是用来说明导线在车辆上安装的指导图。图上每根导线所注名的颜色与标号就是实际车上导线的颜色和到端子的所印数字。按次数字将导线接在指定的相关电器设备的接线柱上,就完成了连接任务。即使不懂原理,也可以按次接线。总上所述,掌握汽车全车线路(总线路),应按以下步骤进行:(1)对该车所使用的电气设备结构、原理有一定了解,知道他的规格。(2)认真识读电路图,达到了解全车所使用电气设备的名称、数量和实际安排位置;设备所用的接线柱数量、名称等。(3)识读原理图应了解主要电气设备的各接线柱和那些电器设备的接线柱相连;该设备分线走向;分线上开关、熔断器、继电器的作用;控制方式与过程。(4)识读线束图应了解该车有多少线束,各线束名称及在车上的安装位置;每一束的分支同向哪个电器设备,每分支又有几根导线及他们的颜色与标号,连接在那些接线柱上;该车有那些插接器以及他们之间的连接情况。(5)抓住典型电路,触类旁通。汽车电路中有许多部分是类似的,都是性质相同的基本回路,不同的只是个别情形。三、全车线路的认读下面以东风EQ1090型载货汽车线路为例,分析说明各电子系统电路的特点。东风EQ1090型载货汽车全车线路主要由电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等组成。(一)电源系统线路电源系统包括蓄电池、交流发电机以及调节器,东风EQ1090汽车配装电子式电压调节器,电源线路如图。其特点如下:(1)发电机与蓄电池并联,蓄电池的充放电电流由电流表指示。接线时应注意电流表的-端接蓄电池正极,电流表的+端与交流发电机‘电枢’接线柱A或B连接,用电设备的电流也由电流表+端引出,这样电流表才能正确指示蓄电池的充、放电电流值。(2)蓄电池的负极经电源总开关控制。当发电机转速很低,输出电压没有达到规定电压时,由蓄电池向发电机供给磁场电流。(二)起动系统线路启动系统由蓄电池、启动机、启动机继电器(部分东风EQ1090型汽车配装复合继电器)组成,系统线路如图。启动发动机时,将点火开关置于“启动”档位,启动继电器(或复合继电器)工作,接通起动机电磁开关电路,从而接通起动机与蓄电池之间得电路,蓄电池便向起动机供给400~600A大电流,起动机产生驱动转矩将发动机起动。发动机起动后,如果驾驶员没有及时松开点火开关,那么由于交流发电机电压升高,其中性点电压达5V时,在复合继电器的作用下,起动机的电磁开关将自动释放,切断蓄电池与起动电动机之间的电路,起动机便会自动停止工作。根据国家标准GB9420--88的规定,汽车用起动电动机电路的电压降(每百安的培的电压差)12V电器系统不得超过,24V电器系统不的超过。因此,连接启动电动机与蓄电池之间的电缆必须使用具有足够横截面积的专用电缆并连接牢固,防止出现接触不良现象。(三)点火系统线路点火系统包括点火线圈、分电器、点火开关与电源。系统线路如图,其特点:(1)在低压电路中串有点火开关,用来接通与切断初级绕组电流;(2)点火线圈有两个低压接线端子,其中‘-’或‘1’端子应当连接分电器低压接线端子,“+”或“15”端子上连接有两根导线,其中来自起动机电磁开关的蓝色导线,(注:个别车型因出厂年代不同其导线颜色有可能不同)应当连接电磁开关的附加电阻短路开关端子“15a”;白色导线来自点火开关,该导线为附加电阻(电阻值为欧姆左右)所以不能用普通导线代替。起动发动机时,初级电流并不经过白色导线,而是由蓄电池经起动电磁开关与蓝色导线直接流入点火线圈,使附加电阻线被短路,从而减小低压电路电阻,增大低压电流,保证发动机能顺利起动。(3)在高压电路中,由分电器至各火花塞的导线称为高压导线,连接时必须按照气缸点火顺序依次连接。(四)仪表系统线路仪表系统包括电流表、油压表、水温表、燃油表与之匹配的传感器,系统线路如图所示。其特点如下:(1)电流表串联在电源电路里,用来指示蓄电池充、放电电流的大小。其他几种仪表相互并联,并由点火开关控制。(2)水温表与燃油表共用一只电源稳压器,其目的是当电源电压波动时起到稳压仪表电源的作用,保证水温表与燃油表读数准确。电源稳压器的输出电压为。报警装置有油压过低报警灯和气压过低蜂鸣器,分别由各自的报警开关控制。当机油压力低于50~90kpa时,油压过低报警开关触电闭合,油压过低指示灯电路接通而发亮,指示发动机主油道机油压力过低,应及时停车维修。东风EQ1090型汽车采用气压制动系统,当制动系统的气压下降到340~370kpa时,气压过低蜂鸣器鸣叫,以示警告。(五)照明与信号系统线路照明与信号系统包括全车所有照明灯、灯光信号与音响信号,系统线路如图所示。其特点如下:(1)前照灯为两灯制,并采用双丝灯泡;(2)前照灯外侧为前侧灯,采用单灯丝,其光轴与牵照灯光轴成20度夹角,即分别向左右偏斜20度。因此,在夜间行车时,如果前照灯与前侧灯同时点亮,那么汽车正前方与左右两侧的较大范围内都有较好的照明,即使在汽车急转弯时,也能照亮前方的路面,从而大大改善了汽车在弯道多、转弯急的道路上行驶时的照明条件;(3)前照灯、前下灯、前侧灯及尾灯均由手柄式车灯开关控制;(4)设有灯光保护线路;(5)制动信号灯不受车灯总开关控制,直接经熔断丝与电源连接,只要踩下制动踏板,制动邓开关就会接通制动灯电路使制动灯发亮;(6)转向信号灯受转向灯开关控制;(7)电喇叭由喇叭按钮和喇叭继电器控制

汽车前照灯是在夜间行驶的主要照明装置,远近光形的好坏和照射方向对汽车夜间安全行驶起着重要的作用。下面是我整理的汽车前照灯技术论文,希望你能从中得到感悟!

汽车前照灯检测技术探讨

摘要:汽车前照灯是在夜间行驶的主要照明装置,远近光形的好坏和照射方向对汽车夜间安全行驶起着重要的作用。因此,为保障机动车运行安全,应对前照灯的有关性能进行严格检验。本文就汽车前照灯远近光检测技术进行了分析。

关键词:汽车;前照灯;检测

中图分类号:U46 文献标识码:A

前照灯是汽车在夜间或在能见度较低的条件下,为驾驶员提供行车道路照明的重要设备,也是驾驶员发出警示、进行联络的灯光信号装置。所以,前照灯必须有足够的发光强度和正确的照射方向。目前各大汽车检测站普遍采用先进的CCD成像技术和DSP图像处理相结合的方法进行汽车前照灯远近光的检测,从而达到汽车前照灯的自动跟踪光轴、发光强度、远光中心坐标、近光拐点坐标以及光轴偏角等特征参数的检测。

1 汽车前照灯远近光发光特点及作用

前照灯远光灯的发光特点

为了防止前照灯对司机和路人造成眩目,前照灯的灯具需要经过特别的设计,使灯具的发光性能达到一定的标准。所谓发光特性是指灯具发射可见光的光度(照射角度和发光强度)分布,其照射角度随方向而改变,常用发光强度分布曲线来表示。正常情况下,汽车前照灯远光发光特性,其光度分布如椭圆形状在上下方向和左右方向基本对称,越靠近中心点,照射度越大。

前照灯近光灯的发光特点

典型的前照灯近光的发光特性为非规则几何形状,具有明显的明暗截止线,在明暗截止线的左上方有一个比较暗的暗区,在明暗截止线的右下方有一个比较亮的亮区。其发光强度最强的区域在明暗截止线的右下方,光强最大的区域中心点,照度最大,并以这个中心点为中心,形成一定的等照度曲线。前照灯近光图可表示为图1,近光产生明显的明暗截止线,其水平部分在V-V′的左侧,右侧为与水平线向上15°的斜线或向上成45°的斜线。明暗线转折点处称为拐点。根据前照灯远近光的光形分布的特点,传统的前照灯远光检测技术以仪器检测为主,大多利用远光光斑图形的对称性,利用上下左右对称分布的光电池对光轴中心进行检测。而由于近光光斑图形的非对称性,无法使用测量远光的方法对近光进行单独检测,通常利用图像分析的办法来获取明暗截止线拐点的位置来测取远近光各个特征参数,为汽车驾驶员提供准确的数据。

汽车夜间行驶时,前照灯远光能照亮前100m处一定范围内高2m的物体,这样才能保证司机发现前方有障碍物时,及时采取制动或绕行措施,让停车距离在视距之内,确保行车安全。

2 汽车前照灯检测技术发展

汽车前照灯检测技术,从早期的屏幕观察检测,到后来的仪器检测,发展到现在用的CCD和数字图像处理(DSP)相结合的检测技术,都具备智能化、自动化检测技术水平。

屏幕法检测

简单的屏幕检测,就是在被测灯前方10m处垂挂一屏幕,在屏幕上按照标准要求画好光束照射位置点和线,把受检车辆的前照灯光打开,照射在屏幕上,用肉眼观察该光束的位置是否符合标准要求,可测近光和远光。这种方法的特点是设备简单,不需要软件处理系统,对场地和环境要求高、但效率较低,而且依赖人的主观判断的程度比较大,检测结果一致性较差,误差大。因此在大流量的检测线上,很少使用这种检测方法。

采用CCD感光检测技术

利用CCD摄像头的感光技术,将采集到的光信号转化为电信号的原理,并最终通过图像采集卡将模拟的电信号转化为数字信号,输出到计算机,由计算机数据处理系统进行处理,就可测出前照灯远光发光强度和近光偏移量。采用CCD对光检测技术,其检测精度完全可以满足国标±15′的要求。

数字图像处理DSP检测技术

这项新型的检测技术主要是把CCD摄像头采集到的模拟视频信号转化成数字视频信号,然后利用DSP(数字信号处理器)的数字视频采集卡及处理系统对数字视频信号根据需要进行数字运算和处理,以得到需要测量的参数。

从以上灯光检测技术的发展历程可以看出,随着电子技术和计算机技术的不断发展和普及,数字图像处理技术也得到了迅速的发展。到目前,各大汽车检测站用的较多的是利用CCD感光系统精确成像,采用DSP系统进行图像分析处理及电子控制技术,精确进行汽车前照灯远近光灯技术参数进行测试。DSP(Digital Signal Processing)数字信号处理具有速度快,集成度高,接口方便等特点。

3 CCD感光系统的测量原理

成像原理

利用几何光学中的物像对应关系,使远处的大范围光强分布成为较小的可测量实像,用面阵CCD作为图像传感器,可以一次得到整个平面上的光强分布。

根据GB7258-2004《机动车运行安全技术条件》中屏幕法的要求,前照灯利用几何光学中的物像对应关系,使远处(10m)屏幕上的大范围发光强度(光强)分布成为较小的可测量实像(1m处成像屏上),用面阵CCD作为图像传感器,可以一次得到整个平面上的光强分布。

前照灯可以认为是具有一定光强分布的面光源。前照灯在10m处光线会聚成像为AB。在光路中插人菲涅耳透镜组(假设等效为L)后,AB的光线实际会聚成实像为AB,如图2所示。

如果假设菲涅耳透镜的焦距为f,则有以下关系式:

选择合适比例的l和f彭阿以得到恰当的像,从而方便测量。

测量时的瞄准方式

空间角度的检测必须要获得2个点的位置,在光束偏角的测量中也不例外。在进行测之前,首先必须找到前照灯的位置或第一个光束参考点的位置。图3为瞄准前照灯方式的测量原理,这种测量方式是先利用CCD摄像头1找到前照灯的位置,然后用CCD摄像头2拍摄前照灯通过透镜成像后的光斑图像,分析其中的光轴位置(远光或近光),得到与零点相比的偏差,从而根据标定的数据得到实际前照灯的角度偏差值。

直接对准前照灯:

这种测量方式是先利用摄像头找到车灯的位置,然后拍摄成像后的光斑图像,分析其中的光轴位置(远光或近光),得到和零点相比的偏差,从而根据标定的数据得到实际的角度偏差值。

光强测量分析

由于在低照度下,CCD的输出电压与照度有良好的线性关系,这样CCD面元信号的数字量便可与外部光源照射到检测幕布上照度值联系起来了。根据测量时建立起来的关系数据库,根据空间采样后各像元的数字量即得出各点的光照强度。

角度测量分析

主要利用灯光(远光中心点、近光明暗截止线转角点)在屏幕上会有X的位移,经透镜成像后,在透镜像方焦平面上引起的成像点的位移X′可由CCD获得的数字化图像分析求出,进而推算出光轴偏转角度。利用远光照明的对称性,找到远光光斑的对称中心,然后在前照灯打开近光照明的条件下,模拟人眼的判断过程,对近光的拐点进行分析。同样的,在进行近光角度检测时,由于CCD图形具有分辨率高的优势,结合计算机技术,和光电池扫描的方法相比可以进行更为准确的拐点的搜寻。

结束语

综上所述,选用专业的图像处理芯片对前照灯近光光束配光图像进行分析处理,可准确确定近光光束明暗截止线转角和近光光束照射方向。

参考文献

[1]吴勇,邹颖.前照灯检测仪检测距离的探讨[J].汽车维护与修理,2005,12.

[2]赵彬.汽车前照灯检测过程中存在的问题及对策[J].无锡商业职业技术学院学报,2008,06.

点击下页还有更多>>>汽车前照灯技术论文

我以前做过类似的论题,朋友若是能给点辛苦费,我来帮你完成。

汽车尾灯的设计毕业论文

太专业了 建议还是写点自己的东西出来吧

下面的都是毕业论文范文,有用的话,请给我红旗LMX2350/LMX2352芯片简介及电路设计基于LMX2306/16/26 芯片简介及应用电路设计 基于LT5500f 的 GHzLNA/混频器电路设计基于LT5517 40MHZ到90NHZ 积分解调器的设计基于LT5527的400MHz至高信号电平下变频混频器电路设计基于LT5572的芯片简介及应用电路设计基于LT5516的芯片简介及应用电路设计 基于MAX2039的芯片简介及应用电路设计 基于MAX2102/MAX2105芯片简介及应用电路设计基于MAX2106 芯片简介及应用电路设计 基于MAX2323/MAX2325 的芯片简介及应用电路设计 基于MAX2338芯片简介及应用电路设计 基于MAX2511的芯片简介及应用电路设计 基于MAX2685的芯片简介及应用电路设计 基于MAX2753的芯片简介及应用电路设计基于MAX9981芯片简介及应用电路设计基于MAX9994的芯片简介及应用电路设计 基于MAX9995的芯片简介及应用电路设计基于MC12430的芯片简介及应用电路设计基于MC88920芯片简介及应用电路设计基于MPC97H73的简介及电路设计基于MPC9229 芯片简介及应用电路设计 基于mpc9239芯片简介及应用电路设计 基于MPC9992 芯片简介及应用电路设计基于mpc92433芯片的简介及应用电路设计基于TQ5121的无线数据接收器电路设计基于TQ5135的芯片简介及应用电路设计基于TQ5631 3V PCS波段CDMA射频放大混频器电路设计语音信号处理技术及应用网络文档发放与认证管理系统网络配置管理对象分析与应用三维激光扫描仪中图像处理快速算法设计基于分形的自然景物图形的生成图像压缩编码基于奇异值分解的数字图像水印算法研究数字图象融合技术汽车牌照定位与分割技术的研究焦炉立火道温度软测量模型设计加热炉的非线性PID控制算法研究直接转矩控制交流调速系统的转矩数字调节器无线会议系统的设计温度检测控制仪器简易远程心电监护系统基于LabVIEW的测试结果语音表达系统程控交换机房环境监测系统设计单片机控制的微型频率计设计基于DSP的短波通信系统设计(射频单元)等精度数字频率计不对称半桥直直变换器仿真研究基于MATLAB的直流电动机双闭环调速系统无线传输应变型扭矩仪模糊控制在锅炉焊接过程中的应用三层结构的工作流OA的应用与实现基于的永磁直线电机的有限元分析及计算音频信号的数字水印技术低压CMOS零延迟1:11时钟发生器基于ADF4116/4117/4118的芯片简介及应用电路设计ADF4193芯片简介及应用电路设计LMX2310U/LMX2311U/LMX2312U/LMX2313U芯片简介及应用电路设计MAX2754芯片简介及应用电路设计MPC92432芯片简介及应用电路设计高增益矢量乘法器基于400MSPS 14-Bit,直接数字合成器AD9951基于900MHz低压LVPECL时钟合成器的电路设计基于 MAX2450芯片简介及应用电路设计基于AD831低失真有源混频器的电路设计基于AD7008的芯片简介及应用电路设计基于AD8341 芯片简介及应用电路设计基于AD8348的50M-1000M正交解调器基于AD8349的简介及应用电路设计基于AD9511的简介及电路应用基于AD9540的芯片简介及电路设计基于AD9952的芯片简介和应用电路设计基于ADF436的集成数字频率合成器与压控振荡器基于ADF4007简介及电路设计基于ADF4110/ADF4111/ADF4112/ADF4113上的应用电路设计基于ADF4154的芯片简介及应用电路设计基于ADF4360-0的芯片简介及应用电路设计基于ADF4360-3电路芯片简介及应用电路设计基于ADF4360-6的简介及应用电路设计基于ADF4360-7的集成整形N合成器的压控振荡器基于ADL5350的简介及应用电路设计基于CMOS 200 MHZ数字正交上变频器设计基于CMOS 的AD9831芯片数字频率合成器的电路设计基于CX3627ERDE的芯片简介及应用电路设计基于CXA3275Q的芯片简介及应用电路设计基于CXA3556N的芯片简介及应用电路设计基于IMA-93516的芯片简介及应用电路设计VPN技术研究UCOSII在FPGA上的移植IPTV影音信号传输网络设计GSM移动通信网络优化的研究与实现 FSK调制系统DSP处理GPS接收数据的应用研究Boot Loader在嵌入式系统中的应用ADS宽带组网与测试基于FPGA的IIR滤波器设计MP3宽带音频解码关键技术的研究与实现基本门电路和数值比较器的设计编码器和译码器的设计智力竞赛抢答器移位寄存器的设计与实现四选一数据选择器和基本触发器的设计四位二进制加法器和乘法器数字钟的设计与制作数字秒表的设计数控分频器及其应用汽车尾灯控制器的设计交通灯控制器的设计简易电子琴的设计简单微处理器的设计DSP最小系统的设计与开发基于消息队列机制(MSMQ)的网络监控系统基于DSP的电机控制的研究基于数学形态学的织物经纬密度的研究纱条均匀度测试的研究 图像锐化算法的研究及其DSP实现 手写体数字识别有限冲击响应滤波器的设计及其DSP实现 同步电机模型的MATLAB仿真USB通信研究及其在虚拟仪器中的应用设计WLAN的OFDM信道估计算法研究采用S12交换机支持NGN下MEGACO呼叫流程的设计基于语音信号预测编码的数据压缩算法的研究与实现基于小波变换数字图像水印盲算法基于小波变换和神经网络的短期负荷预测研究嵌入式系统建模仿真环境PtolemyII的研究与应用分布式计算环境的设计与实现复合加密系统中DES算法的实现大学自动排课算法设计与实现基于AES的加密机制的实现基于AES算法的HASH函数的设计与应用基于DM642的视频编码器优化和实现基于Huffman编码的数据压缩算法的研究与实现基于internet的嵌入式远程测控终端研制基于Matlab的FMCW(调频连续波)的中频正交处理和脉冲压缩处理 基于MATLAB的对称振子阻抗特性和图形仿真基于windows的串口通信软件设计基于粗糙集和规则树的增量式知识获取算法自适应蚁群算法在DNA序列比对中的应用远程监护系统的数据记录与传输技术研究基于分布式体系结构的工序调度系统的设计基于活动图像编码的数据压缩算法的设计与实现基于宽带声音子带编码的数据压缩算法的设计与实现基于网络数据处理XML技术的设计基于小波变换的数据压缩算法的研究与实现基于小波变换的配电网单相接地故障定位研究及应用英特网上传输文件的签名与验证程序

首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。 总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。由表1得总体框图如图所示图1汽车尾灯控制电路原理框图表1汽车尾灯控制逻辑功能表开关控制S1 S0三进制计数器Q1 Q0六个指示灯D6 D5 D4 D1 D2 D30 00 0 0 0 0 00 10 00 11 00 0 0 1 0 00 0 0 0 1 00 0 0 0 0 11 00 00 11 00 0 1 0 0 00 1 0 0 0 01 0 0 0 0 01 1CP CP CP CP CP 单元电路设计与分析 开关控制电路的设计设74LSl38和显示驱动电路的使能端信号分别为G和A,根据总体逻辑功能表分析及组合得G、A与给定条件(S1、S0、CP)的真值表,如表2所示。开关控制S1 S0CP使能信号G A0 00 10 11 11 01 11 1CP0 CP表2 S1、S0、CP与 G、A逻辑功能真值表由表6—3经过整理得逻辑表达式, , 由上式得开关控制电路,如图2所示图2 开关控制电路 三进制计数器电路的设计三进制计数器电路可根据表1由双J—K触发器74LS76构成,此电路结构简单,成本较低,选用此方案。电路图如图3所示图3 三进制计数器 译码与显示驱动电路的设计译码与显示驱动电路的功能是:在开关控制电路输出和三进制计数器状态的作用下,提供6个尾灯控制信号,当译码驱动电路输出的控制信号为低电平时,相应指示灯点亮。因此,译码与显示驱动电路可用74LS138(其功能表如表所示)、6个与非门和6个反相器构成,逻辑电路如图中的(Ⅰ)所示。图中,译码器74LS138的输入端C、B、A分别接K1、Q1、Q0。当图中G=F=1、K1=0时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D1、D2、D3对应的反相器输出依次为低电平,从而使指示灯D1、D2、D3依次顺序点亮,示意汽车右转弯;当图中G=F=1、K1=1时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D4、D5、D6对应的反相器输出依次为低电平,从而使指示灯D4、D5、D6依次顺序点亮,示意汽车左转弯;当图中G=0,F=1时,译码器输出为全1,使所有指示灯对应的反相器输出全部为高电平,指示灯全部熄灭;当图中G=0,F=cp时,所有指示灯随cp的频率闪烁。实现了4种不同模式下的尾灯状态显示。 尾灯电路的设计尾灯显示驱动电路由6个发光二极管和6各电阻构成,反相器G1—G3的输出端也依次为0,指示灯D1→D2→D3按顺序点亮,示意汽车右转弯;反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。 秒脉冲电路的设计由555定时器构成的多谐振荡器;如图4为多谐震荡器的电路,由于555定时器内部的比较器灵敏度搞,输出驱动电流大,功能灵活,又频率受电压和温度影响很小。即此多谐振荡器的震荡频率稳定。图4 脉冲产生电路 电路的安装与调试其工作原理图如图5所示,经过以上所述的设计内容及要求的分析,可以图5汽车尾灯控制器电路原理图图5 电路原理图首先,通过555定时器构成的多谐振荡器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给双J-K触发器构成的三进制计数器和开关控制电路中的三输入与非门的输入信号。其次,双J-K触发器构成的三进制计数器用于产生00、01、10的循环信号,此信号提供左转、右转的原始信号。最后,左转、右转的原始信号通过6个与非门,6个非门以及7410提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。得到的信号即可输出到发光二极管上,实现所需功能。4 心得体会及建议(四号,黑体) 心得体会(小四号宋体,加粗)本次课程设计是我目前收获最大的一次课程设计。我是工学专业的学生,设计是我们将来必需的技能,这次课程设计恰恰给我们提供了一个应用自己所学知识的机会,从到图书馆查找资料到对电路的设计对电路的仿真再到最后电路的成型,都对我所学的知识进行了检验。可以说,本次课程设计有苦也有甜。 设计思路是最重要的,只要你的设计思路是成功的,那你的设计已经成功了一半。因此我们应该在设计前做好充分的准备,像查找详细的资料,为我们设计的成功打下坚实的基础。 制作过程是一个考验人耐心的过程,不能有丝毫的急躁,马虎,对电路的调试要一步一步来,不能急躁,因为是在电脑上调试,比较慢,又要求我们有一个比较正确的调试方法,像把频率调快等等。这又要我们要灵活处理,在不影响试验的前提下可以加快进度。 要熟练地掌握课本上的知识,这样才能对试验中出现的问题进行分析解决。 留给我印象最深的是要设计一个成功的电路,必须要有耐心,要有坚韧的毅力。在整个电路的设计过程中,花费时间最多的是各个单元电路的连接及电路的细节设计上,如CP脉冲的供给通断等。在多种方案的选择中,我们仔细比较分析其原理以及可行的原因,最后还是在老师的耐心指导下,使整个电路可稳定工作。设计过程中,我深刻的体会到在设计过程中,需要反复实践,其过程很可能相当烦琐,有时花很长时间设计出来的电路还是需要重做,那时心中未免有点灰心,有时还特别想放弃,此时更加需要静下心,查找原因。 总体来说,这次实习我受益匪浅。在摸索该如何设计电路使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力。在让我体会到了设计电路的艰辛的同时,更让我体会到成功的喜悦和快乐。 建议对于学生来说:做课程设计还是独立完成好。在做设计之前一定要搞清楚各个元件的特征与性质,做设计时就可以对号入座。而且做设计时要明白“要什么,怎么样”这样就不会盲目了,对于设计时会出现的各个错误现象,一定要仔细检查线路,不要盲目的就认为元器件的问题,或是人为其他的问题。做课程设计最主要的是锻炼实践能力,所以要注重独立思考怎样设计,而不是照搬照套。对于指导老师来说:安排实验时能否在充足的时间安排,还可以有选择的选题,不要把题目限定得太死,充分激发学生兴趣爱好,才能更激情的深刻地做好课程设计。5 附录元器件明细表 元件名称规格数量电阻100Ω 500Ω82电容100μF/25V2发光二极管LED(共阴)6555定时器NE5551译码器74LS1381开关2其他各集成元件 74LS0074LS0474LS10 74LS76 74LS86111116参考文献

第一部分 摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。 汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。 关键词:电路 单行线制 系统 导线 各种车灯 目录:(1)全车线路的连接原则 (2)识读电路图的基本要求 (3)以东风EQ1090型载货汽车线路为例全车线路的认读 a.电源系统线b.起动系统线路c.点火系统线路 d.仪表系统线路e.照明与信号系统线路 (4)全车电路的导线 (5)识读图注意事项 论汽车电路的识读方法 在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。 一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。 蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。 汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。 灯光照明电路是指控制组合开关、前大灯和小灯的电路系统;信号电路是指控制组合开关、转弯灯和报警灯的电路系统;仪表电路是指点火开关、仪表板和传感器电路系统;启动电路是指点火开关、继电器、起动机电路系统;充电电路是指调节器、发电机和蓄电池电路系统。以上电路系统是必不可少的,构成全车电路的基本部分。辅助电路是指控制雨刮器、音响等电路系统。随着汽车用电装备的增加,例如电动座椅、电动门窗、电动天窗等,各种辅助电路将越来越多。 旧式汽车电路比较简单,一般情况下,它们的正极线(俗称火线)分别与保险丝盒相接,负极线(俗称地线)共用,重要节点有三个,保险丝盒、继电器和组合开关,绝大部分电路系统的一端接保险丝或开关,另一端联接继电器或用电设备。但在现代汽车的用电装置越来越多的情况下,线束将会越来越多,布线将会越来越复杂。随着汽车电子技术的发展,现代汽车电路已经与电子技术相结合,采用共用多路控制装置,而不是象旧式汽车那样通过单独的导线来传送。 使用多路控制装置,各用电负载发送的输入信号通过电控单元(ECU)转换成数字信号,数字信号从发送装置传输到接收装置,在接收装置转换成所需信号对有关元件进行控制。这样就需在保险丝、开关和用电设备之间的电路上添加一个多路控制装置(参阅广州雅阁后雾灯线路简图)。采用多路控制线路系统可。 第二部分 第二部分简要介绍了全车线路识读的原则、要求与方法以及电路用线的规格。主要针对其在东风EQ1090车型 汽车电路与电器系统应用情况作了概括性的阐述。其包括了电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等主要部分进行了说明。通过对东风EQ1090车型的系统学习,为以后接触到各类不同车型打下个坚实的基础。 一、全车线路的连接原则 全车线路按车辆结构形式、电器设备数量、安装位置、接线方法不同而各有不同,但其线路一般都以下几条原则: (1)汽车上各种电器设备的连接大多数都采用单线制; (2)汽车上装备的两个电源(发电机与蓄电池)必须并联连接; (3)各种用电设备采用并联连接,并由各自的开关控制; (4)电流表必须能够检测蓄电池充、放电电流的大小。因此,凡是蓄电池供电时,电流都要经过电流表与蓄电池构成的回路。但是,对于用电量大且工作时间较短的起动机电流则例外,即启动电流不经过电流表; (5)各型汽车均陪装保险装置,用以防止发生短路而烧坏用电设备。 了解上面的原则,对分析研究各种车型的电器线路以及正确判断电器故障很有帮助。 二、基本要求 一般来讲全车电路有三种形式,即:线路图、原理图、线束图。 (一)、识读电路图的基本要求 了解全车电路,首先要识读该车的线路图,因为线路图上的电器是用图形符号以及外形表示的,容易识别。此外,线路图上的电器设备的位置与实际车上的位置是对应的,容易认清主要设备在车上的实际位置,同时,也可对设备的功能获得感性认识。 识读电路图时,应按照用电设备的功用,识别主要用电设备的相对分布位置;识别用电设备的连接关系,初步了解单元回路的构成;了解导线的类型以及电流的走向。 (二)、识读原理图的基本要求 原理图是一图形符号方式,把全车用电设备、控制器、电源等按照一定顺序连接而成的。它的特点是将各单元回路依次排列,便于从原理上分析和认识汽车电路。 识读原理图时,应了解全车电路的组成,找出各单元回路的电流通路,分析回路的工作过程。 (三)、识读线束图的基本要求 线束图是用来说明导线在车辆上安装的指导图。图上每根导线所注名的颜色与标号就是实际车上导线的颜色和到端子的所印数字。按次数字将导线接在指定的相关电器设备的接线柱上,就完成了连接任务。即使不懂原理,也可以按次接线。 总上所述,掌握汽车全车线路(总线路),应按以下步骤进行: (1)对该车所使用的电气设备结构、原理有一定了解,知道他的规格。 (2)认真识读电路图,达到了解全车所使用电气设备的名称、数量和实际安排位置;设备所用的接线柱数量、名称等。 (3)识读原理图应了解主要电气设备的各接线柱和那些电器设备的接线柱相连;该设备分线走向;分线上开关、熔断器、继电器的作用;控制方式与过程。 (4)识读线束图应了解该车有多少线束,各线束名称及在车上的安装位置;每一束的分支同向哪个电器设备,每分支又有几根导线及他们的颜色与标号,连接在那些接线柱上;该车有那些插接器以及他们之间的连接情况。 (5)抓住典型电路,触类旁通。汽车电路中有许多部分是类似的,都是性质相同的基本回路,不同的只是个别情形。 三、全车线路的认读 下面以东风EQ1090型载货汽车线路为例,分析说明各电子系统电路的特点。东风EQ1090型载货汽车全车线路主要由电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等组成。 (一)电源系统线路 电源系统包括蓄电池、交流发电机以及调节器,东风EQ1090汽车配装电子式电压调节器,电源线路如图。其特点如下: (1)发电机与蓄电池并联,蓄电池的充放电电流由电流表指示。接线时应注意电流表的-端接蓄电池正极,电流表的+端与交流发电机‘电枢’接线柱A或B连接,用电设备的电流也由电流表+端引出,这样电流表才能正确指示蓄电池的充、放电电流值。 (2)蓄电池的负极经电源总开关控制。当发电机转速很低,输出电压没有达到规定电压时,由蓄电池向发电机供给磁场电流。 (二)起动系统线路 启动系统由蓄电池、启动机、启动机继电器(部分东风EQ1090型汽车配装复合继电器)组成,系统线路如图。 启动发动机时,将点火开关置于“启动”档位,启动继电器(或复合继电器)工作,接通起动机电磁开关电路,从而接通起动机与蓄电池之间得电路,蓄电池便向起动机供给400~600A大电流,起动机产生驱动转矩将发动机起动。 发动机起动后,如果驾驶员没有及时松开点火开关,那么由于交流发电机电压升高,其中性点电压达5V时,在复合继电器的作用下,起动机的电磁开关将自动释放,切断蓄电池与起动电动机之间的电路,起动机便会自动停止工作。 根据国家标准GB9420--88的规定,汽车用起动电动机电路的电压降(每百安的培的电压差)12V电器系统不得超过,24V电器系统不的超过。因此,连接启动电动机与蓄电池之间的电缆必须使用具有足够横截面积的专用电缆并连接牢固,防止出现接触不良现象。 (三)点火系统线路 点火系统包括点火线圈、分电器、点火开关与电源。系统线路如图,其特点: (1)在低压电路中串有点火开关,用来接通与切断初级绕组电流; (2)点火线圈有两个低压接线端子,其中‘-’或‘1’端子应当连接分电器低压接线端子,“+”或“15”端子上连接有两根导线,其中来自起动机电磁开关的蓝色导线,(注:个别车型因出厂年代不同其导线颜色有可能不同)应当连接电磁开关的附加电阻短路开关端子“15a”;白色导线来自点火开关,该导线为附加电阻(电阻值为欧姆左右)所以不能用普通导线代替。起动发动机时,初级电流并不经过白色导线,而是由蓄电池经起动电磁开关与蓝色导线直接流入点火线圈,使附加电阻线被短路,从而减小低压电路电阻,增大低压电流,保证发动机能顺利起动。 (3)在高压电路中,由分电器至各火花塞的导线称为高压导线,连接时必须按照气缸点火顺序依次连接。 (四)仪表系统线路 仪表系统包括电流表、油压表、水温表、燃油表与之匹配的传感器,系统线路如图所示。其特点如下: (1)电流表串联在电源电路里,用来指示蓄电池充、放电电流的大小。其他几种仪表相互并联,并由点火开关控制。 (2)水温表与燃油表共用一只电源稳压器,其目的是当电源电压波动时起到稳压仪表电源的作用,保证水温表与燃油表读数准确。电源稳压器的输出电压为。 报警装置有油压过低报警灯和气压过低蜂鸣器,分别由各自的报警开关控制。当机油压力低于50~90kpa时,油压过低报警开关触电闭合,油压过低指示灯电路接通而发亮,指示发动机主油道机油压力过低,应及时停车维修。东风EQ1090型汽车采用气压制动系统,当制动系统的气压下降到340~370kpa时,气压过低蜂鸣器鸣叫,以示警告。 (五)照明与信号系统线路 照明与信号系统包括全车所有照明灯、灯光信号与音响信号,系统线路如图所示。其特点如下: (1)前照灯为两灯制,并采用双丝灯泡; (2)前照灯外侧为前侧灯,采用单灯丝,其光轴与牵照灯光轴成20度夹角,即分别向左右偏斜20度。因此,在夜间行车时,如果前照灯与前侧灯同时点亮,那么汽车正前方与左右两侧的较大范围内都有较好的照明,即使在汽车急转弯时,也能照亮前方的路面,从而大大改善了汽车在弯道多、转弯急的道路上行驶时的照明条件; (3)前照灯、前下灯、前侧灯及尾灯均由手柄式车灯开关控制; (4)设有灯光保护线路; (5)制动信号灯不受车灯总开关控制,直接经熔断丝与电源连接,只要踩下制动踏板,制动邓开关就会接通制动灯电路使制动灯发亮; (6)转向信号灯受转向灯开关控制; (7)电喇叭由喇叭按钮和喇叭继电器控制

汽车灯光设计毕业论文绪论

汽车前照灯是在夜间行驶的主要照明装置,远近光形的好坏和照射方向对汽车夜间安全行驶起着重要的作用。下面是我整理的汽车前照灯技术论文,希望你能从中得到感悟!

汽车前照灯检测技术探讨

摘要:汽车前照灯是在夜间行驶的主要照明装置,远近光形的好坏和照射方向对汽车夜间安全行驶起着重要的作用。因此,为保障机动车运行安全,应对前照灯的有关性能进行严格检验。本文就汽车前照灯远近光检测技术进行了分析。

关键词:汽车;前照灯;检测

中图分类号:U46 文献标识码:A

前照灯是汽车在夜间或在能见度较低的条件下,为驾驶员提供行车道路照明的重要设备,也是驾驶员发出警示、进行联络的灯光信号装置。所以,前照灯必须有足够的发光强度和正确的照射方向。目前各大汽车检测站普遍采用先进的CCD成像技术和DSP图像处理相结合的方法进行汽车前照灯远近光的检测,从而达到汽车前照灯的自动跟踪光轴、发光强度、远光中心坐标、近光拐点坐标以及光轴偏角等特征参数的检测。

1 汽车前照灯远近光发光特点及作用

前照灯远光灯的发光特点

为了防止前照灯对司机和路人造成眩目,前照灯的灯具需要经过特别的设计,使灯具的发光性能达到一定的标准。所谓发光特性是指灯具发射可见光的光度(照射角度和发光强度)分布,其照射角度随方向而改变,常用发光强度分布曲线来表示。正常情况下,汽车前照灯远光发光特性,其光度分布如椭圆形状在上下方向和左右方向基本对称,越靠近中心点,照射度越大。

前照灯近光灯的发光特点

典型的前照灯近光的发光特性为非规则几何形状,具有明显的明暗截止线,在明暗截止线的左上方有一个比较暗的暗区,在明暗截止线的右下方有一个比较亮的亮区。其发光强度最强的区域在明暗截止线的右下方,光强最大的区域中心点,照度最大,并以这个中心点为中心,形成一定的等照度曲线。前照灯近光图可表示为图1,近光产生明显的明暗截止线,其水平部分在V-V′的左侧,右侧为与水平线向上15°的斜线或向上成45°的斜线。明暗线转折点处称为拐点。根据前照灯远近光的光形分布的特点,传统的前照灯远光检测技术以仪器检测为主,大多利用远光光斑图形的对称性,利用上下左右对称分布的光电池对光轴中心进行检测。而由于近光光斑图形的非对称性,无法使用测量远光的方法对近光进行单独检测,通常利用图像分析的办法来获取明暗截止线拐点的位置来测取远近光各个特征参数,为汽车驾驶员提供准确的数据。

汽车夜间行驶时,前照灯远光能照亮前100m处一定范围内高2m的物体,这样才能保证司机发现前方有障碍物时,及时采取制动或绕行措施,让停车距离在视距之内,确保行车安全。

2 汽车前照灯检测技术发展

汽车前照灯检测技术,从早期的屏幕观察检测,到后来的仪器检测,发展到现在用的CCD和数字图像处理(DSP)相结合的检测技术,都具备智能化、自动化检测技术水平。

屏幕法检测

简单的屏幕检测,就是在被测灯前方10m处垂挂一屏幕,在屏幕上按照标准要求画好光束照射位置点和线,把受检车辆的前照灯光打开,照射在屏幕上,用肉眼观察该光束的位置是否符合标准要求,可测近光和远光。这种方法的特点是设备简单,不需要软件处理系统,对场地和环境要求高、但效率较低,而且依赖人的主观判断的程度比较大,检测结果一致性较差,误差大。因此在大流量的检测线上,很少使用这种检测方法。

采用CCD感光检测技术

利用CCD摄像头的感光技术,将采集到的光信号转化为电信号的原理,并最终通过图像采集卡将模拟的电信号转化为数字信号,输出到计算机,由计算机数据处理系统进行处理,就可测出前照灯远光发光强度和近光偏移量。采用CCD对光检测技术,其检测精度完全可以满足国标±15′的要求。

数字图像处理DSP检测技术

这项新型的检测技术主要是把CCD摄像头采集到的模拟视频信号转化成数字视频信号,然后利用DSP(数字信号处理器)的数字视频采集卡及处理系统对数字视频信号根据需要进行数字运算和处理,以得到需要测量的参数。

从以上灯光检测技术的发展历程可以看出,随着电子技术和计算机技术的不断发展和普及,数字图像处理技术也得到了迅速的发展。到目前,各大汽车检测站用的较多的是利用CCD感光系统精确成像,采用DSP系统进行图像分析处理及电子控制技术,精确进行汽车前照灯远近光灯技术参数进行测试。DSP(Digital Signal Processing)数字信号处理具有速度快,集成度高,接口方便等特点。

3 CCD感光系统的测量原理

成像原理

利用几何光学中的物像对应关系,使远处的大范围光强分布成为较小的可测量实像,用面阵CCD作为图像传感器,可以一次得到整个平面上的光强分布。

根据GB7258-2004《机动车运行安全技术条件》中屏幕法的要求,前照灯利用几何光学中的物像对应关系,使远处(10m)屏幕上的大范围发光强度(光强)分布成为较小的可测量实像(1m处成像屏上),用面阵CCD作为图像传感器,可以一次得到整个平面上的光强分布。

前照灯可以认为是具有一定光强分布的面光源。前照灯在10m处光线会聚成像为AB。在光路中插人菲涅耳透镜组(假设等效为L)后,AB的光线实际会聚成实像为AB,如图2所示。

如果假设菲涅耳透镜的焦距为f,则有以下关系式:

选择合适比例的l和f彭阿以得到恰当的像,从而方便测量。

测量时的瞄准方式

空间角度的检测必须要获得2个点的位置,在光束偏角的测量中也不例外。在进行测之前,首先必须找到前照灯的位置或第一个光束参考点的位置。图3为瞄准前照灯方式的测量原理,这种测量方式是先利用CCD摄像头1找到前照灯的位置,然后用CCD摄像头2拍摄前照灯通过透镜成像后的光斑图像,分析其中的光轴位置(远光或近光),得到与零点相比的偏差,从而根据标定的数据得到实际前照灯的角度偏差值。

直接对准前照灯:

这种测量方式是先利用摄像头找到车灯的位置,然后拍摄成像后的光斑图像,分析其中的光轴位置(远光或近光),得到和零点相比的偏差,从而根据标定的数据得到实际的角度偏差值。

光强测量分析

由于在低照度下,CCD的输出电压与照度有良好的线性关系,这样CCD面元信号的数字量便可与外部光源照射到检测幕布上照度值联系起来了。根据测量时建立起来的关系数据库,根据空间采样后各像元的数字量即得出各点的光照强度。

角度测量分析

主要利用灯光(远光中心点、近光明暗截止线转角点)在屏幕上会有X的位移,经透镜成像后,在透镜像方焦平面上引起的成像点的位移X′可由CCD获得的数字化图像分析求出,进而推算出光轴偏转角度。利用远光照明的对称性,找到远光光斑的对称中心,然后在前照灯打开近光照明的条件下,模拟人眼的判断过程,对近光的拐点进行分析。同样的,在进行近光角度检测时,由于CCD图形具有分辨率高的优势,结合计算机技术,和光电池扫描的方法相比可以进行更为准确的拐点的搜寻。

结束语

综上所述,选用专业的图像处理芯片对前照灯近光光束配光图像进行分析处理,可准确确定近光光束明暗截止线转角和近光光束照射方向。

参考文献

[1]吴勇,邹颖.前照灯检测仪检测距离的探讨[J].汽车维护与修理,2005,12.

[2]赵彬.汽车前照灯检测过程中存在的问题及对策[J].无锡商业职业技术学院学报,2008,06.

点击下页还有更多>>>汽车前照灯技术论文

毕业论文绪论怎么写:

作为论文的开场白,毕业论文引言应以简短的篇幅介绍论文的写作背景和目的,以及相关领域内前人所做的工作和研究的概况。

说明本研究与前人工作的关系,目前研究的热点、存在的问题及作者工作的意义,引出本文的主题给读者以引导。简单阐述其研究内容,但不必展开讨论。

引言有三要素:

1、必要的现状、理论背景,前人研究的结果与分析;

2、本研究的目的、意义、价值;

3、本研究用的研究途径、基本方法、设计思想等。

毕业论文引言作用:

毕业论文引言通常作为论文的开端,主要回答“为什么研究”这个课题的问题。引言的内容在一篇论文中主要起承上启下的作用。

写引言时要注意:叙述某一领域中的最新进展,应该有评有述,而不只是前人工作的罗列;尽量引用国内外近5年内发表的科技论文,因为这些论文本身就代表着当前课题研究的主要方 向。不要与摘要中的内容雷同。不要出现图、表及公式。

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

汽车尾灯控制系统的设计毕业论文

1)取 左、右各一只黄色LED,以表现左、右转向。取 左、右各一只红色LED,以表现刹车。停车?也有灯指示?会是什么灯呢?那就用白色LED吧;2)表2-1没看到呢;会是这样?K1 、K00 、 0 ----- 停车0 、 1 ----- 右转向1 、 0 ----- 左转向1 、 1 ----- 刹车

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。 总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。由表1得总体框图如图所示图1汽车尾灯控制电路原理框图表1汽车尾灯控制逻辑功能表开关控制S1 S0三进制计数器Q1 Q0六个指示灯D6 D5 D4 D1 D2 D30 00 0 0 0 0 00 10 00 11 00 0 0 1 0 00 0 0 0 1 00 0 0 0 0 11 00 00 11 00 0 1 0 0 00 1 0 0 0 01 0 0 0 0 01 1CP CP CP CP CP 单元电路设计与分析 开关控制电路的设计设74LSl38和显示驱动电路的使能端信号分别为G和A,根据总体逻辑功能表分析及组合得G、A与给定条件(S1、S0、CP)的真值表,如表2所示。开关控制S1 S0CP使能信号G A0 00 10 11 11 01 11 1CP0 CP表2 S1、S0、CP与 G、A逻辑功能真值表由表6—3经过整理得逻辑表达式, , 由上式得开关控制电路,如图2所示图2 开关控制电路 三进制计数器电路的设计三进制计数器电路可根据表1由双J—K触发器74LS76构成,此电路结构简单,成本较低,选用此方案。电路图如图3所示图3 三进制计数器 译码与显示驱动电路的设计译码与显示驱动电路的功能是:在开关控制电路输出和三进制计数器状态的作用下,提供6个尾灯控制信号,当译码驱动电路输出的控制信号为低电平时,相应指示灯点亮。因此,译码与显示驱动电路可用74LS138(其功能表如表所示)、6个与非门和6个反相器构成,逻辑电路如图中的(Ⅰ)所示。图中,译码器74LS138的输入端C、B、A分别接K1、Q1、Q0。当图中G=F=1、K1=0时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D1、D2、D3对应的反相器输出依次为低电平,从而使指示灯D1、D2、D3依次顺序点亮,示意汽车右转弯;当图中G=F=1、K1=1时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D4、D5、D6对应的反相器输出依次为低电平,从而使指示灯D4、D5、D6依次顺序点亮,示意汽车左转弯;当图中G=0,F=1时,译码器输出为全1,使所有指示灯对应的反相器输出全部为高电平,指示灯全部熄灭;当图中G=0,F=cp时,所有指示灯随cp的频率闪烁。实现了4种不同模式下的尾灯状态显示。 尾灯电路的设计尾灯显示驱动电路由6个发光二极管和6各电阻构成,反相器G1—G3的输出端也依次为0,指示灯D1→D2→D3按顺序点亮,示意汽车右转弯;反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。 秒脉冲电路的设计由555定时器构成的多谐振荡器;如图4为多谐震荡器的电路,由于555定时器内部的比较器灵敏度搞,输出驱动电流大,功能灵活,又频率受电压和温度影响很小。即此多谐振荡器的震荡频率稳定。图4 脉冲产生电路 电路的安装与调试其工作原理图如图5所示,经过以上所述的设计内容及要求的分析,可以图5汽车尾灯控制器电路原理图图5 电路原理图首先,通过555定时器构成的多谐振荡器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给双J-K触发器构成的三进制计数器和开关控制电路中的三输入与非门的输入信号。其次,双J-K触发器构成的三进制计数器用于产生00、01、10的循环信号,此信号提供左转、右转的原始信号。最后,左转、右转的原始信号通过6个与非门,6个非门以及7410提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。得到的信号即可输出到发光二极管上,实现所需功能。4 心得体会及建议(四号,黑体) 心得体会(小四号宋体,加粗)本次课程设计是我目前收获最大的一次课程设计。我是工学专业的学生,设计是我们将来必需的技能,这次课程设计恰恰给我们提供了一个应用自己所学知识的机会,从到图书馆查找资料到对电路的设计对电路的仿真再到最后电路的成型,都对我所学的知识进行了检验。可以说,本次课程设计有苦也有甜。 设计思路是最重要的,只要你的设计思路是成功的,那你的设计已经成功了一半。因此我们应该在设计前做好充分的准备,像查找详细的资料,为我们设计的成功打下坚实的基础。 制作过程是一个考验人耐心的过程,不能有丝毫的急躁,马虎,对电路的调试要一步一步来,不能急躁,因为是在电脑上调试,比较慢,又要求我们有一个比较正确的调试方法,像把频率调快等等。这又要我们要灵活处理,在不影响试验的前提下可以加快进度。 要熟练地掌握课本上的知识,这样才能对试验中出现的问题进行分析解决。 留给我印象最深的是要设计一个成功的电路,必须要有耐心,要有坚韧的毅力。在整个电路的设计过程中,花费时间最多的是各个单元电路的连接及电路的细节设计上,如CP脉冲的供给通断等。在多种方案的选择中,我们仔细比较分析其原理以及可行的原因,最后还是在老师的耐心指导下,使整个电路可稳定工作。设计过程中,我深刻的体会到在设计过程中,需要反复实践,其过程很可能相当烦琐,有时花很长时间设计出来的电路还是需要重做,那时心中未免有点灰心,有时还特别想放弃,此时更加需要静下心,查找原因。 总体来说,这次实习我受益匪浅。在摸索该如何设计电路使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力。在让我体会到了设计电路的艰辛的同时,更让我体会到成功的喜悦和快乐。 建议对于学生来说:做课程设计还是独立完成好。在做设计之前一定要搞清楚各个元件的特征与性质,做设计时就可以对号入座。而且做设计时要明白“要什么,怎么样”这样就不会盲目了,对于设计时会出现的各个错误现象,一定要仔细检查线路,不要盲目的就认为元器件的问题,或是人为其他的问题。做课程设计最主要的是锻炼实践能力,所以要注重独立思考怎样设计,而不是照搬照套。对于指导老师来说:安排实验时能否在充足的时间安排,还可以有选择的选题,不要把题目限定得太死,充分激发学生兴趣爱好,才能更激情的深刻地做好课程设计。5 附录元器件明细表 元件名称规格数量电阻100Ω 500Ω82电容100μF/25V2发光二极管LED(共阴)6555定时器NE5551译码器74LS1381开关2其他各集成元件 74LS0074LS0474LS10 74LS76 74LS86111116参考文献

摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。关键词:电路 单行线制 系统 导线 各种车灯目录:(1)全车线路的连接原则(2)识读电路图的基本要求(3)以东风EQ1090型载货汽车线路为例全车线路的认读a.电源系统线b.起动系统线路c.点火系统线路d.仪表系统线路e.照明与信号系统线路(4)全车电路的导线(5)识读图注意事项论汽车电路的识读方法在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。

汽车刹车灯电路毕业论文

我是从事汽修专业的你的这个问题我接触过!汽车前照灯故障分很多种,至于一侧灯光亮一侧灯光暗是其中一种!我用丰田威驰讲解一下。丰田威驰汽车灯光有两个开关。一个是灯光开关一个是变光开关。当示宽灯全不亮可以判断定光开关有问题,在继续变换灯管时候两侧同时不亮可以判定变光开关有问题。一侧灯光不亮(变光开关、灯光开关都正常)由于威驰车没有继电器所以就去灯罩后面的插接器出检测,没问题再查灯泡。一侧亮一侧暗文体就出自于有问题一侧的灯光保险可能出现问题!以上就是我对于汽车灯光故障的检查分析故障车辆丰田威驰

汽车空调维修毕业论文摘要:随着汽车工业的迅猛发展和人民生活水平的日益提高,汽车开始走进千家万户。人们在一贯追求汽车的安全性、可靠性的同时,如今也更加注重对舒适性的要求。因而,空调系统作为现代轿车基本配备,也就成为了必然。近年来,环保和能源问题成为世界关注的焦点,也成为影响汽车业发展的关键因素,各种替代能源动力车的出现,为汽车空调业提出了新的课题与挑战。自本世纪20年代汽车空调诞生以来,伴随汽车空调系统的普及与发展,汽车空调的发展大体上经历了五个阶段:单一取暖阶段、单一冷气阶段、冷暖一体化阶段、自动控制阶段、计算机控制阶段。空调的控制方法也经历了由简单到复杂,再由复杂到简单的过程。作为汽车空调系统的电路控制方面也再不段的更新改进,同时,我国汽车空调的安装随着汽车业的发展以达到100%的普及性,空调已成为现代汽车的一向基本配备。给汽车空调的使用与维修问题带来新的挑战。论文最后以汽车空调故障检修的方法,对汽车空调系统的再深入探讨,以达到对汽车空调系统的了解,并运用在实际工作中。关键词:汽车空调压缩机检修(一)汽车空调的过去与未来汽车空调是指对汽车座厢内的空气质量进行调节的装置。不管车外天气状况如何变化,它都能把车内的湿度、温度、流速、洁度保持在驾驶人员感觉舒适的范围内。最原始的汽车空调仅是开窗换气式。最早的汽车空调装置始于1927年,它仅由加热器、通风装置和空气过滤器三者组成,且只能对车室供暖。准确地讲,汽车空调的历史,应该从制冷技术应用在车上开始。20世纪30年代末期美国的几部公共汽车上装上了应用制冷技术的冷气装置。直到20世纪60年代,应用制冷技术的汽车空调才开始逐步地普及起来。以后,人们对汽车空调的兴趣逐年增加,汽车空调技术日趋完善,功能也越来越全面。它的发展大体上可以分为如下几个阶段:单一供暖空调装置阶段始于1927年,目前在寒冷的北欧,亚洲北部地区,汽车空调仍使用单一供暖系统。单一供冷空调装置阶段始于1939年,美国帕克汽车公司率先在轿车装上机械制冷降温空调器。目前单一降温的汽车空调仍在热带、亚热带部分地区使用。冷暖型汽车空调阶段始于1954年,原美国汽车公司,首先在轿车安装于冷暖一体化空调器,这样汽车空调才具备了降温、除湿、通风、过滤、除霜等空气的调节功能。该方式目前仍然大量的使用在低档车上,是目前使用量最大的一种方式。自控汽车空调装置阶段由于前述的冷暖型汽车空调需依靠人工调节,这既增加上司机的工作量,还使控制不理想。通用汽车公司1964年率先在轿车上应用自控汽车空调。自控空调只需预先设定温度装置,便能自动地在设定的温度范围内运行。装置根据传感器随时检测车外温度,自动地调制装置各部件工作,达到控制车外温度和行驶其他功能的目的。目前,大部分的中高级轿车,高级大客车都装备自控空调电脑控制汽车空调阶段自1977年美国通用汽车公司、日本五十铃汽车公司,同时将自行研制的电脑控制汽车空调系统装上各自的轿车上后,即预示着汽车空调技术已发展到一个新阶段。电脑控制的汽车空调功能增加,显示数字化,冷、暖、通风调控三位一体化。电脑按照车内外的环境所需,实现了调节的精细化。通过电脑控制实现了空调运行与汽车运行的协调,极大地提高了制冷效果,节约了燃料,从而提高了汽车的整体性能和舒适程度。目前电脑控制的空调都装上豪华型轿车上。(二)汽车空调的特点众所周知汽车空调是以采用发动机的动力为代价来完成调节车厢内空气环境的。了解汽车空调的特点,有利于进行汽车空调的使用和维修。与室内空调相比,汽车空调主要有如下特点:1.汽车空调安装在行驶的车辆上,承受着剧烈频繁的振动和冲击,因此,各部件应有足够的强度和抗振能力,接头应牢固并防漏。不然将会造成汽车空调制冷系统的泄露,结果破坏了整个空调系统的工作条件,严重的会损坏制冷系统的压缩机等部件。使用中要经常检查系统内制冷剂的多少,据统计,由于制冷剂的泄露而引起的空调故障约占全部故障的80%。2.汽车空调所需的动力均来自发动机。其中轿车、轻型汽车、中小型客车及工程机械,空调所需的动力和驱动汽车的动力均来自一台发动机。这空调称非独立空调系统。大型客车和豪华型大、中客车,由于所需制冷量和暖气量大,一般采用专用发动机驱动制冷压缩机和设立独立的取暖设备,故称之为独立式空调系统。虽然非独立空调系统会影响汽车的动了性,但它相对于独立空调,在设备成本、运行成本上都较经济。据测试,汽车安装了非独立式空调后,耗油量会增加10%到20%(与车速有关)。发动机输出功率减少10%到12%。3.汽车空调的特定工作环境要求汽车空调的制冷、制热能力尽可能的大。其原因如下:(1)夏天车内的乘客密度大,产热量大,热负荷高;冬天采暖人体所需的热量亦大。(2)为了减轻自重,汽车隔热层一般很薄,加上汽车门窗多,面积大,所以汽车隔热性差,热损大。(3)汽车的工作环境因在野外,直接受阳光、霜雪、风雨等的影响,环境变化剧烈。要使汽车空调在最短的时间里在车厢内达到舒适的环境,就要求其制冷量特别大。对非独立的空调系统来说,由于发动机工况频繁变化,所以制冷系统的制冷机变化大。比如发动机在高速和怠速运行时,转速相差10倍。这必然导致压缩机输送的制冷剂量变化极大。制冷剂流量变化大,轻者引起制冷效果不佳,重者引起压力过高,压缩机出现敲击现象,发生事故。因此,汽车空调制冷系统较室内复杂得多。(4)由于汽车本身的特点,要求汽车空调结构紧凑,质轻、量小,能在所有限的空间进行安装。目前空调的总比重比60年代下降了50%,而制冷能力却提高了50%。(5)汽车空调的供暖方式与室内空调完全不同。对于非独立式汽车空调,一般利用发动机的冷却水或废气余热,而室内空调则是利用一个电磁阀,改变制冷剂量,机组很快起动并转入稳定状况。(三)汽车空调的性能评价指标1.温度指标温度指标是指最重要的一个环节。人感到最舒服的温度是200C到280C,超过280C,人就会觉得燥热。超过400C,即为有害温度,会对人体健康造成损害。低于140C人就会觉得冷。当温度下降到00C时,会造成冻伤。因此,空调应用控制车内温度夏天在250C,冬天在180C,以保证驾驶员正常操作,防止发生事故,保证乘员在舒适的状况下旅行。2.湿度指标湿度的指标用相对湿度来表示。因为人觉得最舒适的相对湿度在50%--70%,所以汽车空调的湿度参数要控制在此范围内。3.空气的清新度由于空间小,乘员密度大,在密闭的空间内极易产生缺氧和二氧化碳浓度过高。汽车发动机废气中的一氧化碳和道路上的粉尖,野外有毒的花粉都容易进入车厢内,造成车内空气浑浊,影响驾驶人员身体健康。这样汽车空调必须具有对车内空气过滤的功能,以保证车内空气清新度。4.除霜功能由于有时汽车内外温度相差很大,会在玻璃上出现雾式霜,影响司机的视线,所以汽车空调必须有除霜功能。5.操作简单、容易、稳定。汽车空调必须作到不增加驾驶员的劳动强度,不影响驾驶员的视线的正常驾驶。第二章汽车空调的组成与原理(一)汽车空调的工作原理压缩机运转时,将蒸发器内产生的低温低压制冷剂蒸气吸入并压缩后,在高温高压(约700C,1471KPa)的状况下排出。这些气态蒸气流入冷凝器,并在此受到散热和冷却风扇的作用强制冷却到500C左右。这时,制冷剂由气态变为液态。被液化了的制冷剂,进入干燥器,除去了水和杂质后,流入膨胀阀。高压的液态制冷剂从膨胀阀的小空流出,变为低压雾状后流入蒸发器。雾状制冷剂在蒸发器内吸热汽化变为气态制冷剂,从而使蒸发器表面温度下降。从送风机出来的空气,不断流过蒸发器表面,被冷却后送进车厢内降温。气态制冷剂通过蒸发器后又重新被压缩机吸入,这样反复循环即可达到制冷目的。(二)汽车空调主要功能包括以下4大部分:制冷、制热、通风、除湿制冷系统原理:汽车空调的压缩机依靠汽车发动机的动力提供,汽车在怠速状态下打开空调制冷怠速会明显增大,油耗也会相应的增加,油耗增加的大小与环境温度有最直接的关系,环境温度高制冷剂膨胀的压力大,发动机驱动空调的消耗也相应加大,环境温度低油耗相应减少。制热系统原理:汽车空调制热与压缩机没有丝毫关系,制热的热源不是空调本身获取的,是由汽车的散热水箱(中控台下面的暖风机总成内的副水箱)提供,早晨在热车前空调吹出来的是冷风,待热车后空调热风源源不断的送出来,制热本身基本没有能量消耗,是利用汽车的余热完成的.但在冬季,为了提升水温,加大喷油量,也使耗油量增加。但是只是在启动初期,等发动机运转正常,就是利用发动机的散热来供暖了。(而有的柴油车由于水温上升慢,为了一发动车就能享受到暖风,所以在暖风机里面加有电热丝)。通风:通风分为内循环和外循环,使用内循环时车内空气基本不与外界交流,使用外循环时位于挡风玻璃下的新风口会将外界的空气源源不断的送进来,以保持车内空气的清新.除湿:空调制冷的过程就是除湿的过程,从制冷时产生的大量冷凝水就可以看出来了,在湿度较大的阴雨天气或是温差太大的时候车内的玻璃上容易起雾,打开空调驱雾就是一个除湿的过程。(三)汽车空调的组成汽车空调一般主要由压缩机、电控离合器、冷凝器、蒸发器、膨胀阀、贮液干燥器、管道、冷凝风扇等组成。汽车空调分高压管路和低压管路。1.电磁离合器在非独立式汽车空调制冷系统中,压缩机是由汽车主发动机驱动的。在需要时接通或切断发动机与压缩机之间的动力传递。另外,当压缩机过载时,它还能起到一定的保护作用。因此,通过控制电磁离合器的结合与分离,就可接通与断开压缩机。当空调开关接通时,电流通过电磁离合器的电磁线圈,电磁线圈产生电磁吸力,使压缩机的压力板与皮带轮结合,将发动机的扭矩传递给压缩机主轴,使压缩机主轴旋转。当断开空调开关时,电磁线圈的吸力消失。在弹簧作用下,压力板和皮带轮脱离,压缩机便停止工作。2.压缩机作用是使制冷剂完成从气态到液态的转变过程,达到制冷剂散热凝露的目的。同时在整个空调系统,压缩机还是管路内介质运转的压力源,没有它,系统不仅不制冷而且还失去了运行的动力。(1)用于汽车制冷系统的压缩机按运动型式可分为:往复活塞式曲轴连杆式径向活塞式轴向活塞式翘板式斜板式旋转式旋叶式圆形汽缸椭圆形汽缸转子式滚动活塞式三角转子式螺杆式涡旋式1)曲轴连杆式压缩机图(1)曲轴连杆式压缩机曲轴连杆式压缩机如图(1)它是一种应用较为广泛的制冷压缩机。压缩机的活塞在汽缸内不断地运动,改变了汽缸的容积,从而在制冷系统中起到了压缩和输送制冷剂的作用。压缩机的工作,可分为压缩、排气、膨胀、吸气等四个过程2)斜板式压缩机图(2)斜板式压缩机斜板式压缩机如图(2)它的润滑方式有两种,一种是采用强制润滑,用由主轴驱动的油泵供油到各润滑部位及轴封处。主要用于豪华型轿车或小型客车较大制冷量的压缩机。另一种是采用飞溅润滑,我国上海内燃机油泵厂生产的斜板式压缩机即是采用飞溅润滑。斜板式压缩机结构紧凑,效率高,性能可靠,因而适用于汽车空调。3)旋叶式压缩机图(3)旋叶式压缩机旋转叶片式压缩机如图(3)由于旋转叶片式压缩机的体积和重量可以做到很小,易于在狭小的发动机舱内进行布置,加之噪声和振动小以及容积效率高等优点,在汽车空调系统中也得到了一定的应用。但是旋转叶片式压缩机对加工精度要求很高,制造成本较高。4)滚动活塞式压缩机滚动活塞式压缩机具有质量小、体积小、零部件少、效率高、可靠性好以及适宜于大批量生产等优点。3.冷凝器汽车空调制冷系统中的冷凝器是一种由管子与散热片组合起来的热交换器。其作用是:将压缩机排出的高温、高压制冷剂蒸气进行冷却,使其凝结为高压制冷剂液体。汽车空调系统冷凝器均采用风冷式结构,其冷凝原理是:让外界空气强制通过冷凝器的散热片,将高温的制冷剂蒸气的热量带走,使之成为液态制冷剂。制冷剂蒸气所放出的热量,被周围空气带走,排到大气中。汽车空调系统冷凝器的结构形式主要有管片式、管带式和鳝片式三种。(1)管带式它是由多孔扁管与S形散热带焊接而成,如图12所示。管带式冷凝器的散热效果比管片式冷凝器好一些(一般可高10%左右〉,但工艺复杂,焊接难度大,且材料要求高。一般用在小型汽车的制冷装置上。(2)鳝片式它是在扁平的多通管道表面直接锐出鳝片状散热片,然后装配成冷凝器,如图13所示。由于散热鳝片与管子为一个整体,因而不存在接触热阻,故散热性能好;另外,管、片之间无需复杂的焊接工艺,加工性好,节省材料,而且抗振性也特别好。所以,是目前较先进的汽车空调冷凝器。4.蒸发器也是一种热交换器,也称冷却器,是制冷循环中获得冷气的直接器件。其作用是将来自热力膨胀阀的低温、低压液态制冷剂在其管道中蒸发,使蒸发器和周围空气的温度降低。同时对空气起减湿作用。5.膨胀阀膨胀阀也称节流阀,是组成汽车空调制冷系统的主要部件,安装在蒸发器入口处,是汽车空调制冷系统的高压与低压的分界点。其功用是:把来自贮液干燥器的高压液态制冷剂节流减压,调节和控制进入蒸发器中的液态制冷剂量,使之适应制冷负荷的变化,同时可防止压缩机发生液击现象(即未蒸发的液态制冷剂进入压缩机后被压缩,极易引起压缩机阀片的损坏)和蒸发器出口蒸气异常过热。6.贮液干燥器贮液干燥器简称贮液器。安装在冷凝器和膨胀阀之间,如图20所示,其作用是临时贮存从冷凝器流出的液态制冷剂,以便制冷负荷变动和系统中有微漏时,能及时补充和调整供给热力膨胀阀的液态制冷剂量,以保证制冷剂流动的连续和稳定性。同时,可防止过多的液态制冷剂贮存在冷凝器里,使冷凝器的传热面积减少而使散热效率降低。而且,还可滤除制冷剂中的杂质,吸收制冷剂中的水分,以防止制冷系统管路脏堵和冰塞,保护设备部件不受侵蚀,从而保证制冷系统的正常工作。贮液器出口端旁边装有一只安全熔塞,也称易熔螺塞,它是制冷系统的一种安全保护装置。其中心有一轴向通孔,孔内装填有焊锡之类的易熔材料,这些易熔材料的熔点一般为85℃-95℃。7.孔管孔管是固定孔口节流装置。两端都装有滤网,以防止系统堵塞。和膨胀阀一样,孔管也装在系统高压侧,但是取消了贮液干燥器,因为孔管直接连通冷凝器出口和蒸发器进口。孔管不能改变制冷剂流量,液态制冷剂有可能流出蒸发器出口。因此,装有孔管的系统,必须同时在蒸发器出口和压缩机进口之间,安装一个积累器,实行气液分离,以防液击压缩机。孔管是一根细钢管,它装在一根塑料套管内。在塑料套管外环形槽内,装有密封圈。有的还有两个外环形槽,每槽各装一个密封圈。把塑料套管连同孔管都插入蒸发器进口管中,密封圈就是密封塑料套管外径和蒸发器进口管内径间的配合间隙用的。安装使用后,系统内的污染物集聚在密封圈后面,使堵塞情况更加恶化。就是这种系统内的污染物,堵塞了孔管及其滤网。这种孔管不能修,如需维护,只能清理滤网。坏了只有更换,孔管内孔的积垢,也不能清理。8.积累器用孔管代替膨胀阀时,汽车空调制冷系统要在低压侧安装积累器。积累器是一种特殊形式的贮液干燥器,用于回气管路中的气液分离,滤网设计有特殊要求,只许润滑油从中通过,而不允许液态制冷剂从中通过。使用孔管的汽车空调制冷系统,总是存在一种可能性:制冷剂离开蒸发器时,还是液体。为了防止液态制冷剂损坏压缩机,必须在蒸发器出口和压缩机进口之间设置积累器,以防止液态制冷剂通过。液态制冷剂在积累器中蒸发,然后以气态形式进入压缩机。9.风机汽车空调制冷系统采用的风机,大部分是靠电机带动的气体输送机械,它对空气进行较小的增压,以便将冷空气送到所需要的车室内,或将冷凝器四周的热空气吹到车外,因而风机在空调制冷系统中是十分重要的设备。风机按其气体流向与风机主轴的相互关系,可分为离心式风机和轴流式风机两种。10.电磁旁通阀电磁旁通阀多用于大、中型客车的独立式空调制冷系统,其作用是控制蒸发器的蒸发压力和蒸发温度,防止蒸发器因温度过低而结霜。电磁旁通阀一般安装在贮液干燥器与压缩机吸入阀之间。11.主轴油封主轴油封损坏,会引起雪种和润滑油泄漏。一般可以从有关的油迹来确定泄漏的地方。也可将压缩机拆下,浸入水中,以进出、口不没入水中为度。将排气口堵住,再从进气口加气压。从有关冒气泡的地方很容易确诊是不是主轴油封泄漏。(四)汽车空调系统分类(按动力源分)1.独立式空调:有专门的动力源(如第二台内燃机)驱动整个空调系统的运行。一般用于长途货运、高地板大中巴等车上。独立式空调由于需要两台发动机,燃油消耗高,同时造成较高的成本,并且其维修及维护十分困难,需要十分熟练的发动机维修人员,而且发动机配件不易获得,尤其是进口发动机;另外设计和安装更容易导致系统质量问题的发生,而额外的驱动发动机更增加了发生故障的概率。2.非独立式空调:直接利用汽车的行驶动力(发动机)来运转的空调系统。非独立式空调由主发动机带动压缩机运转,并由电磁离合器进行控制。接通电源时,离合器断开,压缩机停机,从而调节冷气的供给,达到控制车厢内温度的目的。其优点是结构简单、便于安装布置、噪音小。由于需要消耗主发动机10%-15%的动力,直接影响汽车的加速性能和爬坡能力。同时其制冷量受汽车行驶速度影响,如果汽车停止运行,其空调系统也停止运行。尽管如此,非独立式空调由于其较低的成本(相对独立式空调),已逐渐成为市场的主导产品。目前,绝大部分轿车、面包车、小巴都使用这种空调。(五)汽车自动空调系统汽车自动空调系统指的是根据设置在车内外的各种温度传感器的输出信号,由ECU中的微机进行平衡温度的演算,对进气转换风扇、送气转换风门、混合风门、水阀、加热继电器、压缩机和鼓风机等进行自动控制,按照乘客的要求,使车厢内的温度和温度等小气候保持在使人体感觉最舒适的状态。自动空调控制系统的传感器一般有车厢内温度传感器、车厢外温度传感器、蒸发器温度传感器、太阳能传感器、水温传感器等。其中水温传感器位于发动机出水口,它将冷却水温度反馈至ECU,当水温过高时ECU能够断开压缩机离合器而保护发动机,同时也使ECU依据水温控制冷却水通往加热芯的阀门。各个传感器将温度信息反馈到ECU,ECU通过“混合风档”的冷暖风比例而控制空气流的温度,例如当温度过低时ECU指令冷气流经加热芯升温,当温度过高时则增大冷气,当车厢内温度达到预定值时,ECU会发出指令停止“混合风档”伺服电动机运转。同时,ECU还通过“方式风档”伺服电动机控制气流流向,确定出风口的吹风角度。第三章汽车空调的检修一、汽车空调检修的基本工具1.修理空调器的常用工具(1)活板手(2)开口扳手(3)套筒扳手(4)内六角扳手(5)钢丝钳(6)尖嘴钳(7)十字螺丝刀(8)一字螺丝刀(9)锉刀:圆(10)手弓钢锯(11)手枪钻(12)钻头(13)冲击钻(14)刀子(15)剪刀(16)锤子:铁锤、木锤、橡皮锤各1把(17)卡钳(18)小镜子(19)钢卷尺(20)酒精灯(21)温度计(22)电烙铁(23)万用表(24)低压测电笔2.维修用大设备(1)真空泵:一般选用排气量为2L/s,真空度达到5×10-4mmHg的真空泵;(2)气焊设备:氧气瓶、乙炔瓶、减压阀、乙炔单向阀及配套输气管及焊具共1套;(3)电焊设备:电焊机、输入和输出电缆线、焊把及、焊条共1套;(4)制冷器钢瓶:用来存放制冷剂,一般选用3kg~40kg不等,按实定;(5)定量加液器:可以准确地比空调器充注制冷剂1套;(6)台秤:以确保小钢瓶的充灌制冷剂不超过额定量,避免意外发生1台;(7)氮气瓶:存放氮气,可对空调器进行试压、检漏,以及对制冷系统进行冲洗1套及配套;(8)卤素检漏灯或电子卤素检漏仪:对制冷系统进行检漏1套;(9)兆欧表:测导线绝缘程度500V直流的1套;(10)数字温度表:1套测量空调器的进、出风温度;(11)功率表:测量空调器的输入功率1套;(12)可移动配电盘:供维修接临时电源用;3.维修专用工具(1)胀管器和扩口器:1套(2)割管刀:切割铜管1套(3)弯管器:滚轮式弯管器和弹簧管式弯管器各1套(4)修理阀:三通修理阀或复式修理阀1套(常用)(5)封口钳:将压缩机充气管封死,然后才可以焊封充气管1套(6)力矩扳手:空调配管之间的连接螺母一定要用相应的力矩扳手来坚固(7)电动空心钻:用以打墙孔(小孔径可用冲击钻)、钻头选用70mm、80mm两种规格二、汽车空调制冷系统检修的基本操作1.制冷系统工作压力的检测(1)将歧管压力计正确连接到制冷系统相应的检修阀上,如果手动阀,应使阀处于中位。(2)关闭歧管压力计上的两个手动阀。(3)用手拧紧歧管压力计上的高低压注入软管的联接螺母,让系统内侧的制冷剂将高低压注入软管内的空气排出,然后再将联接螺母拧紧。(4)起动发动机并使发动机转速保持在1000~1500r/min,然后打开空调A/C开关和鼓风机开关,设置到空调最大制冷状态,鼓风机高速运转,温度调节在最冷。(5)关闭车门、车窗和舱盖,发动机预热。(6)把温度计插进中间出风口并观察空气温度,在外界温度为270C时,运行5min后出风口温度应接近70C.(7)观察高低压侧压力,压缩机的吸气压力应为207pa~24kpa,排气压力应为1103~1633kpa。应注意,外界高温高湿将造成高温高压的条件。如果离合器工作,在离合器分离之前记录下数值。2.从制冷系统内放出制冷剂具体方法如下(1)关闭歧管压力计上的手动高低压阀,并将其高低压软管分别接在压缩机高低压检修阀上,将中间软管的自由端放在干净的软布上。(2)慢慢打开手动高压阀,让制冷剂从中间软布上排出,阀门不能开的太大,否则压缩机内的冷冻油会随制冷剂流出。(3)当压力表读数降到以下时,再慢慢打开手动低压阀,使制冷剂从高低两侧流出。(4)观察压力表读数,随着压力的下降,逐渐打开手动高低压阀,直至低压表读数到零为止。3.制冷剂充注程序抽真空作业从高压侧充注200g液态制冷剂第四章总结随着我国汽车工业的高速发展,作为汽车技术现代化标志之一的汽车空调技术在我国蓬勃发展。汽车空调大大改善了乘坐环境,提高了成员的舒适性。近年来,各种完善的多功能型空调装置的应用,受到用户的普遍欢迎。但对于汽车空调维修人员来说将面临新的挑战!本论文对汽车空调的原理、结构以及必备的工具等知识做了一般性的介绍。重点对修理、维护做了详尽的介绍。这样做的原因,主要是考虑本论文所面对是汽车空调维修人员,并由此希望能帮助学习动手解决一般汽车空调故障的技能。第五章参考文献【1】冯玉琪《实用空调制冷设备维修大全》电子工业出版社1994【2】张蕾《汽车空调》机械工业出版社2007【3】夏云铧齐红《汽车空调应用与维修—从入门到精通》机械工业出版社

摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。关键词:电路 单行线制 系统 导线 各种车灯目录:(1)全车线路的连接原则(2)识读电路图的基本要求(3)以东风EQ1090型载货汽车线路为例全车线路的认读a.电源系统线b.起动系统线路c.点火系统线路d.仪表系统线路e.照明与信号系统线路(4)全车电路的导线(5)识读图注意事项论汽车电路的识读方法在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

相关百科

热门百科

首页
发表服务