首页

> 学术期刊知识库

首页 学术期刊知识库 问题

cuk斩波电路仿真毕业论文

发布时间:

cuk斩波电路仿真毕业论文

基本思想是控制晶阀管的导通时间和方向 从而得到不同平均值的电压 你可以找本电力电子的书看看

斩波电路的控制方式通常有三种:时间比例控制方式、瞬时值和平均值控制方式、时间比与瞬时值混合控制方式。

直流斩波电路的功能是将直流电变为另一种固定的或可调的直流电,也称为直流-直流变换器,直流斩波电路一般是指直接将直流变成直流的情况,不包括直流-交流-直流的情况。

直流斩波电路的种类很多,包括6种基本斩波电路:降压斩波电路,升压斩波电路,升降压斩波电路,Cuk斩波电路,Sepic斩波电路,Zeta斩波电路,前两种是最基本电路。

扩展资料:

直流斩波是一种用斩波器斩切直流的方式。

用斩波器斩切直流的基本思想是:如果改变开关的动作频率,或改变直流电流接通和断开的时间比例,就可以改变加到负载上的电压、电流平均值。

直流斩波器具有效率高、体积小、重量轻、成本低等优点,现广泛应用于地铁、电力机车、城市无轨电车以及电瓶搬运车等电力牵引设备的变速拖动中。

直流斩波器的输出电压平均值可以通过改变占空比,即通过改变开关器件导通或关断时间来调节,常用的改变输出平均电压的调制方法有以下三种:

1、脉冲宽度调制。开关器件的通断周期T保持不变,只改变器件每次导通的时间,也就是脉冲周期不变,只改变脉冲的宽度,即定频调宽。

2、脉冲频率调制。开关器件每次导通的时间不变,只改变通断周期T或开关频率,也就是只改变开关的关断时间,即定宽调频,称为调频。

3、两点式控制。开关器件的通断周期T和导通时间均可变,即调宽调频,亦可称为混合调制。当负载电流或电压低于某一最小值时,使开关器件导通;当电流或电压高于某一最大值时,使开关器件关断。导通和关断的时间以及通断周期都是不确定的。

参考资料来源:百度百科——直流斩波电路

提供一些电子信息工程专科毕业论文的题目,供参考。精密检波器的设计简易电子血压计的设计电子听诊器的设计简易数码相机的设计直流电机转动的单片机控制高频功率合成网络的研究多功能气体探测器车用无线遥控系统家用门窗报警器智能型全自动充电器医用病房多路呼叫系统多功能数字钟数字电压表的设计与仿真虹膜识别技术的认识及其在电子学科的发展探讨基于Orcad的电子线路特性分析及优化设计恒温热熔胶枪的设计步进电机的数字控制器设计虹膜图像的预处理(算法分析及探讨)四位密码电子锁的设计旋转LED屏的制作基于PC机的LCD实时显示控制系统设计(pc机部份)基于PC机的LCD实时显示控制系统设计(单片机部份)ICL7135的串行采集方式在单片机电压表中的应用用89C51和8254-2实现步进式PWM输出桌面行走智能小车双音频电话信息传输系统车库控制管理系统(基于PC机)车库控制系统车位识别(基于PC机)数控音频功率放大电路刚体转动实验平台的改进设计谐振频率测试仪高频宽带放大器的制作高频窄带放大器的设计宽带功率放大器的设计程控滤波器的设计高频电压测试棒的制作基于TMS320VC5402的DSP创新试验系统U-BOOT在ARM9(AT91RM9200)上的移植ARM9(AT91RM9200)启动过程的研究与启动代码的设计基于ARM9(AT91RM9200)的嵌入式Linux移植调试环境的研究与建立嵌入式Linux在ARM9(AT91RM9200)上的移植ARM9(AT91RM9200)简易JTAG仿真器设计基于单片机的电动机测速系统基于单片机的单元楼门铃及对讲系统基于单片机的自来水管的恒流控制基于单片机的电子脉搏测量仪基于单片机的自来水水塔控制系统洗衣机控制系统设计基于力敏传感器的压力检测湿敏传感器应用电路系统设计基于气敏传感器的大气环境测量系统设计基于光敏传感器的机器人控制电路设计基于温敏传感器的应用电路设计基于磁敏传感器的检测电路设计超声波传感器在倒车雷达系统中的应用温度传感器在现代汽车中的应用电子秤中的应变片传感器光电开关在自动检测的应用热释电传感器的应用浅谈各种接近开关基于单片机的自行车码表设计基于单片机的图形温度显示系统基于单片机的自动打铃器设计基于EDA技术的自动打铃器设计通用示波器字符(图案)显示电路设计基于EDA技术的时钟设计用matlab实现数字电子技术数据传输电路设计在matlab环境下实现同步计数器电路仿真锂电池充电器的设计与实现脉冲调宽(PWM)稳压电源作光源的设计与实现压电式传感器的应用矩形脉冲信号发生器的设计可编程交通控制系统设计多功能数字钟实用电子称多点温度检测系统可编程微波炉控制器系统设计智能型充电器显示的设计电子显示屏电源逆变器数字温度计简易数字电压表声光双控延迟照明灯可遥控电源开关无刷直流电机控制装置整流电路的设计PLC控制系统与智能化中央空调PLC在电梯变频调速中的应用PLC在输电线路自动重合闸的应用异步电机变频调速系统的设计电机故障诊断系统的设计数控稳压源4-20mA电流环设计单总线多点温度检测系统单片机控制的手机短信发送设备简易恒温浸焊槽设计单片机控制的手机短信发送设备基于MATLAB的IIR数字滤波器设计与仿真基于MATLAB的FIR数字滤波器设计与仿真平稳随机信号功率谱估计及在MATLAB中的实现智能红外遥控电风扇的设计单片机控制的消毒柜数字秒表的设计基于VGA显示的频谱分析仪设计基于FPGA红外收发器设计基于FPGA 的FSK调制器设计基于FPGA的多频电疗仪的设计基于FPGA幅度调制信号发生器设计基于FPGA全数字锁相环设计单片机之间的串口数据通信微机与单片机间的串口数据通信模型自适应系统控制器设计神经网络PID控制器设计带误差补偿环节的PID控制系统具有模糊系统控制的PID控制系统限电自动控制器单片机实现三位电子秒表开关稳压电源设计新型锂电池充电器自制温度检测报警器限流直流稳压电源设计微波测速计自由落体实验仪风力发电机转速控制风力发电电池组运行状态检测光伏电能的储存及合理应用控制装置车库门自动开闭小功率风力发电机研制利用车内电源(12V)给笔记本电脑供电电源(19V)基于PWM控制的七彩灯设计红外遥控电风扇基于串口通信的GPS定位系统数控电压源20mA电流环模块设计基于GSM的汽车防盗系统的设计

电路的仿真毕业论文

1、论文题目:要求准确、简练、醒目、新颖。2、目录:目录是论文中主要段落的简表。(短篇论文不必列目录)3、提要:是文章主要内容的摘录,要求短、精、完整。字数少可几十字,多不超过三百字为宜。4、关键词或主题词:关键词是从论文的题名、提要和正文中选取出来的,是对表述论文的中心内容有实质意义的词汇。关键词是用作机系统标引论文内容特征的词语,便于信息系统汇集,以供读者检索。 每篇论文一般选取3-8个词汇作为关键词,另起一行,排在“提要”的左下方。主题词是经过规范化的词,在确定主题词时,要对论文进行主题,依照标引和组配规则转换成主题词表中的规范词语。5、论文正文:(1)引言:引言又称前言、序言和导言,用在论文的开头。 引言一般要概括地写出作者意图,说明选题的目的和意义, 并指出论文写作的范围。引言要短小精悍、紧扣主题。〈2)论文正文:正文是论文的主体,正文应包括论点、论据、 论证过程和结论。主体部分包括以下内容:a.提出-论点;b.分析问题-论据和论证;c.解决问题-论证与步骤;d.结论。6、一篇论文的参考文献是将论文在和写作中可参考或引证的主要文献资料,列于论文的末尾。参考文献应另起一页,标注方式按《GB7714-87文后参考文献著录规则》进行。中文:标题--作者--出版物信息(版地、版者、版期):作者--标题--出版物信息所列参考文献的要求是:(1)所列参考文献应是正式出版物,以便读者考证。(2)所列举的参考文献要标明序号、著作或文章的标题、作者、出版物信息。

[电气工程及其自动化]基于内模控制三相三电平PWM整流器不平衡控制策略的研究 摘要电网不平衡时,基于电网平衡为约束条件设计的三相三电平电压型PWM整流器(以下简称三相VSR)将出现不正常运行状态,比如三相VSR交流电流中出现负序分量,使交流电流严重不对称;直流电压和交流电流中出现非特征谐波分量,使直流电压和交流电流波形发生严重畸变;三相三电平VSR从电网吸收不平衡的瞬时功率等一系列问题.本论文对三相VSR在电网不平衡情况下进行了详细的建模分析,并在此基础上提出了输入功率平衡控制策略。该控制策略用来实现直流电压非特征谐波消除控制。由于在αβ静止坐标系中,采用比例和比例积分调节器无法实现对时变正弦波信号的无差跟踪控制,本论文把内模控制原理应用到三相三电平VSR电流跟踪控制中,使系统获得了很强的鲁棒性。本论文对基于αβ静止坐标系的功率平衡控制策略进行仿真,可以看出试验结果与仿真结果吻合,证明了结论的正确性。关键词 电网不平衡,三相三电平PWM整流器,功率控制策略,内模控制目 录摘要 IABSTRACT II前言 11 绪论 PWM整流器概况 三相电网不平衡概述 三相VSR不平衡控制研究概述 本论文要完成的工作 本章小结 122 三相三电平VSR的数学模型 三电平整流器的基本工作原理 三电平整流器的数学模型 153 三相三电平VSR不平衡控制策略 功率平衡控制策略 本章小结 264 电网不平衡三相三电平VSR控制系统设计 基于ΑΒ静止坐标系的不平衡控制器设计 电网不平衡时三相VSR主电路参数设计 本章小结 365 三相三电平VSR不平衡控制系统仿真 三相三电平VSR主电路开关函数仿真模型的建立 基于ΑΒ坐标系的控制系统仿真 396 结论 43致谢 44参考文献 45附录 外文资料翻译 内模控制 不稳定系统内模控制方法改进 49

我也做这个哦 给我发个哦 呵呵QQ271246840

[电气工程及其自动化]基于内模控制三相三电平PWM整流器不平衡控制策略的研究


摘要
电网不平衡时,基于电网平衡为约束条件设计的三相三电平电压型PWM整流器(以下简称三相VSR)将出现不正

电子电路仿真技术毕业论文

字数多少?大概提纲。

随意点的,到网上多找资料,自己做。 ckSa- 0g$w'PA

电子技术可以写电路、控制系统、plc等等。开始也不会写,还是寝室哥们给的文方网,帮写的《电力电子技术在电力系统中的应用研究》,很快就通过了现代汽车电子技术的应用现状及发展趋势汽车电子技术的应用与发展趋势浅析电力电子技术与谐波抑制、无功功率补偿技术研究综述电子技术实验教学的思考数字电子技术实验改革与创新电力电子技术应用系统发展热点综述电子技术课程设计内容改革的研究与实践现代电力电子技术的发展及其应用交互式电子技术手册及其应用研究电子技术实验教学改革与创新电力电子技术发展的新动向电子技术实验教学改革与实践电力电子技术的发展及应用探究电力电子技术的发展及应用南方电网大功率电力电子技术的研究和应用电力电子技术课程设计的探索与实践纳米电子器件与纳米电子技术电力电子技术及其应用的最新发展全面实施电子技术实验改革 提高学生创新能力电力电子技术的发展动向浅谈面向21世纪的电力电子技术2010年国际大电网会议系列报道高压直流输电和电力电子技术计算机仿真技术在电力电子技术课堂教学难点中的应用电力电子技术与谐波抑制“数字电子技术实验”课程的改革“模拟电子技术实验”课程的改革我国电力电子技术的现状及应用现代电子技术在汽车上的应用及未来发展趋势极限温度下的电力电子技术电子技术课程建设探索与实践

我国是以煤为主要一次能源的国家,而50%以上煤炭消耗于火力发电,传统火力发电面临发电效率低、环境污染大等缺点,亟待改善。整体煤气化联合循环技术(Integrated Gasification Combined Cycle, IGCC)将煤的气化和高效联合循环相结合,是一种高效洁净的燃煤发电技术。其中辐射式废热锅炉是IGCC系统中煤气化显热回收设备的重要组成部分。气化炉生成的高温合成气经辐射式废热锅炉回收其显热,可提高整个系统发电效率2~4%。由气化炉进入辐射式废热锅炉的合成气中带有大量的高硬度飞灰颗粒,其进入废热锅炉后受气体夹带作用会对受热面产生严重的冲刷,进而造成水冷壁严重磨损,影响显热回收装置的安全正常运行。因此,在对辐射式废热锅炉温度场、流场的模拟和分析的基础上,根据数值模拟结果加装有效的飞灰分离装置并对飞灰颗粒对壁面磨损进行预测,对IGCC系统辐射式废热锅炉的设计、安全运行具有重要意义。本文针对“国家863计划”项目中某中试试验废热锅炉开展了现较为通用的双层水冷壁式辐射式废热锅炉内部气体流动、温度分布的模拟,并采用欧拉-拉格朗日随机轨道模型进行了辐射式废热锅炉内气体、粒子气固两相流动的模拟,最终获得了辐射式废热锅炉内气体温度场、流场以及颗粒相运行轨迹。在此基础上,结合该中试试验设计工况,根据固体颗粒沉降以及惯性分离原则,在确定最佳固体颗粒分离位置的情况下,提出了一种具有多层结构的固体颗粒径向分离装置,采用Fluent软件进行了相关数值模拟,深入研究了该分离装置分离效果,并开展了相关参数,如分离环倾角、间距比、遮盖比例对分离效果影响规律的研究,为不同设计参数情况下分离装置的设计提供了理论依据。结果表明:当分离环倾角为50°、间距比为、遮盖比例为5 %时,径向分离装置的分离效率达到最高。而后,根据辐射式废热锅炉内流场情况结合粒子运行轨迹模拟结果,编制程序,统计了内、外水冷壁粒子碰撞情况,确定了辐射式废热锅炉内粒子碰撞概率较大区域,并针对该类型区域,统计了30~100μm不同粒径固体颗粒在该区域的碰撞次数,并根据其运行轨迹计算出颗粒入射角,进而采用磨损模型计算出不同颗粒对壁面的磨损状况,同时给出了年标准工况下壁面区域由机械磨损引起的减薄的预测值。结果表明,辐射废热锅炉内筒末段区域壁面磨损程度大,最严重时可每年磨掉壁面。

电力系统谐波抑制仿真研究论文

具体什么内容的

电子技术可以写电路、控制系统、plc等等。开始也不会写,还是寝室哥们给的文方网,帮写的《电力电子技术在电力系统中的应用研究》,很快就通过了现代汽车电子技术的应用现状及发展趋势汽车电子技术的应用与发展趋势浅析电力电子技术与谐波抑制、无功功率补偿技术研究综述电子技术实验教学的思考数字电子技术实验改革与创新电力电子技术应用系统发展热点综述电子技术课程设计内容改革的研究与实践现代电力电子技术的发展及其应用交互式电子技术手册及其应用研究电子技术实验教学改革与创新电力电子技术发展的新动向电子技术实验教学改革与实践电力电子技术的发展及应用探究电力电子技术的发展及应用南方电网大功率电力电子技术的研究和应用电力电子技术课程设计的探索与实践纳米电子器件与纳米电子技术电力电子技术及其应用的最新发展全面实施电子技术实验改革 提高学生创新能力电力电子技术的发展动向浅谈面向21世纪的电力电子技术2010年国际大电网会议系列报道高压直流输电和电力电子技术计算机仿真技术在电力电子技术课堂教学难点中的应用电力电子技术与谐波抑制“数字电子技术实验”课程的改革“模拟电子技术实验”课程的改革我国电力电子技术的现状及应用现代电子技术在汽车上的应用及未来发展趋势极限温度下的电力电子技术电子技术课程建设探索与实践

“谐波”一词起源于声学。有关谐波的数学分析在18世纪和19世纪已经奠定了良好的基础。傅里叶等人提出的谐波分析方法至今仍被广泛应用。电力系统的谐波问题早在20世纪20年代和30年代就引起了人们的注意。当时在德国,由于使用静止汞弧变流器而造成了电压、电流波形的畸变。1945年发表的有关变流器谐波的论文是早期有关谐波研究的经典论文。到了50年代和60年代,由于高压直流输电技术的发展,发表了有关变流器引起电力系统谐波问题的大量论文。70年代以来,由于电力电子技术的飞速发展,各种电力电子装置在电力系统、工业、交通及家庭中的应用日益广泛,谐波所造成的危害也日趋严重。世界各国都对谐波问题予以充分和关注。国际上召开了多次有关谐波问题的学术会议,不少国家和国际学术组织都制定了限制电力系统谐波和用电设备谐波的标准和规定。 为解决电力电子装置和其他谐波源的谐波污染问题,基本思路有两条:一条是装设谐波补偿装置来补偿谐波,这对各种谐波源都是适用的;另一条是对电力电子装置本身进行改造,使其不产生谐波,且功率因数可控制为1,这当然只适用于作为主要谐波源的电力电子装置。装设谐波补偿装置的传统方法就是采用LC调谐滤波器。这种方法既可补偿谐波,又可补偿无功功率,而且结构简单,一直被广泛使用。这种方法的主要缺点是补偿特性受电网阻抗和运行状态影响,易和系统发生并联谐振,导致谐波放大,使LC滤波器过载甚至烧毁。此外,它只能补偿固定频率的谐波,补偿效果也不甚理想。 人们对有功功率的理解非常容易,而要深刻认识无功功率却并不是轻而易举的。在正弦电路中,无功功率的概念是清楚的,而在含有谐波时,至今尚无获得公认的无功功率定义。但是,对无功功率这一概念的重要性,对无功补偿重要性的认识,却是一致的。无功补偿应包含对基波无功功补偿和对谐波无功功率的补偿。无功功率对供电系统和负荷的运行都是十分重要的。电力系统网络元件的阻抗主要是电感性的。因此,粗略地说,为了输送有功功率,就要求送电端和受电端的电压有一相位差,这在相当宽的范围内可以实现;而为了输送无功功率,则要求两端电压有一幅值差,这只能在很窄的范围内实现。不仅大多数网络元件消耗无功功率,大多数负载也需要消耗无功功率。网络元件和负载所需要的无功功率必须从网络中某个地方获得。显然,这些无功功率如果都要由发电机提供并经过长距离传送是不合理的,通常也是不可能的。合理的方法应是在需要消耗无功功率的地方产生无功功率,这就是无功补偿。无功补偿的作用主要有以下几点:(1) 提高供用电系统及负载的功率因数,降低设备容量,减少功率损耗。(2) 稳定受电端及电网的电压,提高供电质量。在长距离输电线中合适的地点设置动态无功补偿装置还可以改善输电系统的稳定性,提高输电能力。(3) 在电气化铁道等三相负载不平衡的场合,通过适当的无功裣可以平衡三相的有功及无功负载。 在工业和生活用电负载中,阻感负载占有很大的比例。异步电动机、变压器、荧光灯等都是典型的阻感负载。异步电动机和变压器所消耗的无功功率在电力系统所提供的无功功率中占有很高的比例。电力系统中的电抗器和架空线等也消耗一些无功功率。阻感负载必须吸收无功功率才能正常工作,这是由其本身的性质所决定的。电力电子装置等非线性装置也要消耗无功功率,特别是各种相控装置。 如相控整流器、相控交流功率调整电路和周波变流器,在工作时基波电流滞后于电网电压,要消耗大量的无功功率。另外,这些装置也会产生大量的谐波电流,谐波源都是要消耗无功功率的。二极管整流电路的基波电流相位和电网电压相位大致相同,所以基本不消耗基波无功功率。但是它也产生大量的谐波电流,因此也消耗一定的无功功率。近30年来,电力电子装置的应用日益广泛,也使得电力电子装置成为最大的谐波源。在各种电力电子装置中,整流装置所占的比例最大。常用的整流电路几乎都采用晶闸管相控整流电路或二极管整流电路,其中以三相桥式和单相桥式整流电路为最多。带阻感负载的整流电路所产生的谐波污染和功率因数滞后已为人们所熟悉。直流侧采用电容滤波的二极管整流电路也是谐波污染源。这种电路输入电流的基波分量相位与电源电压相位大体相同,因而基波功率因数接近1。 但其输入电流的谐波分量却很大,给电网造成严重污染,也使得总的功率因数很低。另外,采用相控方式的交流电力调整电路及周波变流器等电力电子装置也会在输入侧产生大量的谐波电流。 1.无功功率的影响(1)无功功率的增加,会导致电流增大和视在功率增加,从而使发电机、变压器及其他电气设备容量和导线容量增加。同时,电力用户的起动及控制设备、测量仪表的尺寸和规格也要加大。(2)无功功率的增加,使总电流增大,因而使设备及线路的损耗增加,这是显而易见的。(3)使线路及变压器的电压降增大,如果是冲击性无功功率负载,还会使电压产生剧烈波动,使供电质量严重降低。2.谐波的危害理想的公用电网所提供的电压应该是单一而固定的频率以及规定的电压幅值。谐波电流和谐波电压的出现,对公用电网是一种污染,它使用电设备所处的环境恶化,也对周围的能耐电力电子设备广泛应用以前,人们对谐波及其危害就进行过一些研究,并有一定认识,但那时谐波污染还没有引起足够的重视。近三四十年来,各种电力电子装置的迅速使得公用电网的谐波污染日趋严重,由谐波引起的各种故障和事故也不断发生,谐波危害的严重性才引起人们高度的关注。谐波对公用电网和其他系统的危害大致有以下几个方面。(1)谐波使公用电网中的元件产生了附加的谐波损耗,降低了发电、输电及用电设备的效率,大量的3次谐波流过中性线时会使线路过热甚至发生火灾。(2)谐波影响各种电气设备的正常工作。 谐波对电机的影响除引起附加损耗外,还会产生机械振动、噪声和过电压,使变压器局部严重过热。谐波使电容器、电缆等设备过热、绝缘老化、寿命缩短,以至损坏。(3)谐波会引起公用电网中局部的并联谐振和串联谐振,从而使谐波放大,这就使上述(1)和(2)的危害大大增加,甚至引起严重事故。(4)谐波会导致继电保护和自动装置的误动作,并会使电气测量仪表计量不准确。(5)谐波会对邻近的通信系统产生干扰,轻者产生噪声,降低通信质量;重者导致住处丢失,使通信系统无法正常工作。

时序逻辑电路仿真研究论文

《数字电子技术》是电子、电气和信息类专业的专业基础核心课程,是后续专业课程学习的基础。下面是我为大家精心推荐的数字电子技术论文,希望能够对您有所帮助。 数字电子技术论文篇一 浅谈《数字电子技术》课程改革 摘要:本文阐述了《数字电子技术》课程改革的理念和思路,罗列了项目驱动的模块教学内容,以及每个模块的教学方法和手段,并在课程资源,课程考核方面作了改革。 关键词:理念和思路;项目驱动;教学方法和手段 《数字电子技术》是电子、电气和信息类专业的专业基础核心课程,是后续专业课程学习的基础。在整个课程体系中处于重要地位。该课程具有较强的理论性、应用性和实践性。特别是在职业院校中,课程的应用性、实践性更应凸显,本文针对课程自身的特点和规律,结合我校该课程的教改推行,就以下几方面浅谈如下: 1 改变教学理念和思路 传统的数字电子技术教学方法,一直沿用以理论教学为主的模式。教师按照传统的“一支粉笔、一块黑板”模式讲授,最多再在数码箱上验证书本上的理论知识。针对教材内容和实际应用联系不够,职业岗位技能没有得到真正提高等问题,我们提出的思路是: (1)自编适合高职院校特点的教材,把课程所需的知识点融进实际任务中,以任务引领教学。 (2)在教学过程中,采用理论和实践相结合的原则,把教、学、做、验、仿融为一体。 (3)提高学生学习兴趣,由被动学习转变为主动学习。 (4)改变考核方式,注重过程考核,课程成绩的评定由学生的作品、平时的表现、知识点考核、职业技能等多方面组成。 2 优化教学内容 本课程主要以数字逻辑基础模块、逻辑门电路模块、组合逻辑电路模块、时序逻辑电路模块、综合模块为基本内容展开学习,这些模块涵盖了数电的主要内容,并自行设计贴近实际又主要涵盖课程内容的工作任务,以工作任务为职业知识的载体,尽可能将相关知识点分解在各个任务中,强调了工作任务和知识点的联系,工作任务和实际应用的联系,工作任务和职业技能的联系。具体内容安排如右上表: 3 改进教学方法和手段 在教学方法和手段上,我们根据具体内容的特点,由课堂教学为主的;由制作实物任务驱动的;由在数码箱上验证任务知识点的;由通过EWB软件仿真综合任务的,真正把教、学、做、验、仿融到了整个教学中。具体情况如右下表: 4 建立立体化教学资源 在教学资源方面,除了传统的教材外,我们有对学生开放的实验实训室、机房,学生可以在课外自己去实验实训室完成课题任务。还有更多的资源在本课程的天空网站,它包括电子教材、PPT、电子教案、课程标准、单元实施方案、考核方法和结构、题库、试卷库等,特别还专门开辟了师生网上互动,学生可以在网上提问,和老师作在线交流互动,学生可以随时上网,为他们的自主学习提供了一个很好的平台。网上资源界面如下: 5 注重过程考核 对于该课程的考核,我们打破了常规的考核方式,不是以期末考试成绩为主,而是注重过程考核。以往学生总觉得平时不认真学习不要紧,只要期末复习时用功一下就行。现在在这种考核方式下,学生对于整个的学习过程都会很重视,而且也不再是理论卷面成绩好,本课程就学得好,它包括了很多方面,如作业、出勤、理论考核、实践操作过程、任务完成效果、职业素养、团队合作、自主解决问题能力等。这种从各个方面考核学生的学习情况,对于培养学生的职业技能起到了一个很好的促进作用。 通过把工作任务融入到教材中;采用教、学、做、验、仿融为一体的教学方法;丰富教材资源,构建师生互动平台;注重过程考核等改革,大大激发了学生的学习兴趣,学生经常主动去实验室制作调试自己的作品,很好地提高了他们的职业技能,从学生反馈的情况看,教学效果也明显好于非教改的班级,达到了“教师主导、学生主学”的教学目的。当然,在教学改革中,我们也发现一些需要完善的地方。例如,在焊接技能方面如何和电工电子实践初步这门课程横向联系起来;在课题选择方面如何和模电等课程联系起来;有了实物制作的过程,那么课时应该安排多少比较合适;如何将课题不断和新技术结合等等。总之,课程改革应该是持续的,与时俱进的,我们将不断总结,不断提高,真正成为受学生青睐的课程。 数字电子技术论文篇二 《数字电子技术》课程教学设计初探 教学设计也称教学系统设计。它是运用系统方法分析教学问题和确定教学目标,建立解决教学问题的策略方案、试行解决方案、评价试行结果和对方案进行修改的过程。教学系统是由教师、学生、教学条件三个基本要素构成的,因此教学设计是一个系统化的过程,包括如何定位教学目标、如何进行任务分析、如何制定教学策略和正确选择教学媒体、如何编制教学评价标准等。 现代 教学设计吸收了先进的 教育 教学理念,教学过程围绕各个实际问题展开,这些问题可以由教师提出,也可以由学生提出,学生主动参与教学过程的各个环节,体现为既发挥教师主导作用又充分体现学生认知主体作用的"主导-主体"教育模式,既注意教又注重学,称为"以教学问题为中心的教学系统设计"。如何把现代教学设计的思想 应用到《数字 电子 技术》课程教学中? 第一,教学内容的设计要注重学生能力与综合素质的培养。 职业教育的培养目标是造就出适应生产、建设、 管理、服务第一线需要的高等技术应用型专门人才,它要求受教育者最终应"具备较快适应生产、建设、管理、服务第一线岗位需要的实际 工作能力。"职业教育教学要强调针对性、实用性、和先进性,删除陈旧过时、偏多偏深而又不实用的内容。 1.从课程的教学目标出发,选择教学内容,把握理论上的度。 《数字电子技术》是应用电子技术、信息工程、电子设备运行与管理等电子类专业的主干技术基础课程,其教学目标是通过本课程的学习使学生掌握数字电子技术的基础知识、基本理论、基本分析和设计方法,训练学生数字应用电路制作与调试的基本技能;培养学生严谨的 科学 态度、科学思维方式以及创新意识和创新能力。为学习后续课程提供必要的理论基础知识和 实践技能,为今后可能从事的职业打好基础。因此,基于本课程的教学目标和高职教育的培养目标,我们在教学内容的选择上突出了基本理论,基本分析方法和知识的应用,回避了繁锁的集成电路内部分析和数学推导。着重外部逻辑功能的描述、分析和应用。强调外特性和主要参数。 2、从培养能力出发,将理论教学与实践教学融为一体。 由于《数字电子技术》是一门应用性很强的技术基础课,其基本理论与实践技能是许多后续课程的基础,理论与实践的密切结合,在本门课程中显得尤为重要。因此,我们在各章都设置了相应的实践训练环节--技能训练。它包括基本性技能训练和设计性技能训练两部分。"基本性技能训练"所涉及的内容与课堂教学内容紧密相关,充分体现课程的实践性。"设计性技能训练"是根据给出的实际问题,由学生自己设计实现逻辑功能的电路、选用芯片、进行安装调试、排除故障。同时还设置了理论与实践综合课程--课程设计内容,将理论教学与实践教学紧密结合。通过理论课程的学习和实训课程的实践,使学生基本掌握电子技术基础知识和基本技能,再通过相应的课程设计将理论用于实践,将设计和实现融为一体,使学生在课程设计中即能提高运用所学知识进行设计的能力,又能在这一过程中 体会到理论设计与实际实现中的距离。 第二,教学方法的设计要调动学生学习主动性,激发学生创造性。 教学改革的核心是教学方法的改革,教学方法要体现在整个课堂教学过程中。在教学方法上,基于职教学生底子薄、基础差、学习水平参差不齐的现状,我们力求避免单纯的注入式,改用启发式、讨论式、答辩式的教学方法。将课堂讲授、课内讨论、课外自学、技能训练等合理结合,把教学过程分为课题引入、设疑激学、讲练结合、精选例题、 总结 巩固等环节进行教学实践。1.由设计实例或工程实际问题引入课题。 在介绍一些重要章节前,列举一个设计实例或工程实际问题,通过分析、设计、引入相关知识和理论。例如:在学习中规模集成组合逻辑电路一节时,先让学生用已学过的SSI组合电路的设计方法"设计一个 交通 灯故障报警电路。交通灯有红、黄绿三色。只有当其中一只灯亮时为正常,其余状态为故障,要求用与非门实现。"然后提出问题,"用SSI组合电路进行设计时,是以门作为电路的基本单元,我们能否用其它逻辑部件来实现这个电路的设计呢?"在给予学生一定的思考时间后,教师可以直接给出总是的答案:"本节将要学习的内容中,译码器、数据选择器这两种中规模逻辑器件都可以完成上述电路的逻辑功能"。同时画出相应的设计电路。这样学生的兴趣马上被调动起来,并产生诸多疑问:什么是译码器、数据选择器?为什么它们也能实现上述电路设计?等等。 2.设疑激学 古人云:"学贵知疑,小疑则小进,大疑则大进,疑者觉悟之机,一番觉悟,一番长进"。只有不断提出问题,才能探究解决问题。设疑激学,就是教师用问题来启发学生思考,培养学生"生疑、质疑和释疑"的能力。提问方式的设计包括"何时提问"、"提哪些问题"、"如何提问"等等。这些问题可以是教师事先设计好的,也可以是学生提出的对学生共同感兴趣的问题。将相关知识有机地 组织起来,进行探讨,激发学生的思维活动,引导他们分析解决问题。 3.现场教学,讲练结合 将课堂讲授与技能训练合理结合,有些教学内容可以安排在实验、实训中进行。边讲边练,讲练结合。边讲边练主要用于介绍集成电路 工作原理后,由学生对电路的功能及外部特性进行测试:练讲结合则是由学生根据集成电路的功能表对电路进行测试。而后由老师和学生对测试结果进行讨论,归纳 总结 ,以加深对理论的理解。这样,将教学过程放在实验、实训中,有利于学生实现由感性到理性的 自然 过渡。在边学边练中更深刻地领会所学知识,在头脑中建立起理论与实际的 联系,使学生逐步提高学习能力和 实践技能。引导学生将基本理论、基本分析方法 应用于解决实际问题。 4.精选例题 在《数字 电子 技术》课程教学中,主张"精讲多练"的原则,"精讲"是指对重要的概念和原理及相关知识点要讲深、讲透。"多练"是指在解题思路和设计方法上要勤于练习,要学会创造性作业,学会一题多解。为此,教师必须精选具有代表性并联系工程实际的综合性和设计性例题,在课堂上多讲设计思路和方法,少讲具体知识。引导学生由求同思维"为什么这样?"转向求异思维"不这样行吗?"、"还有没有更好方法?"。着重于培养学生的综合能力和激发创造性。 看了“数字电子技术论文”的人还看: 1. 数字电路学术论文 2. 电子类论文范文 3. 趣味电子技术论文(2) 4. 电工电子技术论文发表 5. 电子信息科学论文

¥5百度文库VIP限时优惠 现在开通,立享6亿+VIP内容立即获取触发器-时序逻辑电路实验报告专业:姓名:学号:日期:地点:东三306 B-1实验报告课程名称:数字电子技术基础实验 指导老师:樊伟敏 成绩:__________________实验名称:触发器应用实验 实验类型:设计类 同组学生姓名:__________第 1 页鼎阳示波器-广泛测试和教学场景方案鼎阳数字示波器广泛应用于消费电子,通信,汽车电子,教育等领域,测试和教学方案丰富,产品涵盖入门级到高级系列,多种价格方案选择,进入鼎阳网站,在线选型报价点击立即咨询,了解更多详情咨询鼎阳科技 广告一、实验目的和要求(必填) 二、实验内容和原理(必填)三、主要仪器设备(必填) 四、操作方法和实验步骤五、实验数据记录和处理 六、实验结果与分析(必填)七、讨论、心得一、实验目的1. 加深理解各触发器的逻辑功能,第 2 页掌握各类触发器功能的转换方法。2. 熟悉触发器的两种触发方式(电平触发和边沿触发)及其触发特点。3. 掌握集成J-K触发器和D触发器逻辑功能的测试方法。4. 学习用J-K触发器和D触发器构成简单的时序电路的方法。5. 进一步掌握用双踪示波器测量多个波形的方法。二、主要仪器与设备第 3 页实验选用集成电路芯片:74LS00(与非门)、74LS11(与门)、74LS55(与或非门)、74LS74(双D触发器)、74LS107(双J—K 触发器),GOS-6051 型示波器,导线,SDZ-2 实验箱。三、实验内容和原理1、D→J-K的转换实验①设计过程:J-K 触发器和D触发器的次态方程如下:J-K 触发器:, D触发器:Qn+1=D第 4 页若将D 触发器转换为J-K触发器,则有:。②仿真与实验电路图:仿真电路图如图1所示。操作时时钟接秒信号,便于观察。图1③实验结果:JKQn-1Qn功能0000保持110100置0101101翻转101001置111第 5 页2、D 触发器转换为T’触发器实验①设计过程:D 触发器和T’触发器的次态方程如下:D 触发器:Qn+1= D , T’触发器:Qn+1=!Qn若将D 触发器转换为T’触发器,则二者的次态方程须相等,因此有:D=!Qn。②仿真与实验电路图:仿真电路图如图2 所示。操作时时钟接秒信号。第 6 页图2③实验结果:发光二极管按时钟频率闪动,状态来回翻转。3、J-K→D的转换实验。①设计过程:J-K 触发器和D触发器的次态方程如下:J-K 触发器:, D触发器:Qn+1=D图3若将J-K触发器转换为D触发器,则二者的次态方程须相等,因此有:第 7 页J=D,K=!D。②仿真与实验电路图:如图3所示。③实验结果:符合D触发器的功能,D=1,发光二极管亮,Q=1;D=0,发光二极管不亮,Q=0。4、J-K→T′的转换实验。①设计过程:J-K 触发器和T’触发器的次态方程如下:J-K 触发器:, T’触发器:Qn+1=!Qn第 8 页若将J-K 触发器转换为T’触发器,则二者的次态方程须相等,因此有:J=K=1②仿真与实验电路图:仿真与实验电路图如图4所示。图4第 9 页③实验结果:符合T′触发器的功能,发光二极管按时钟频率闪动,状态来回翻转。5、用双D触发器设计一个单发脉冲发生器。(1)手动单次脉冲发生器的测试:手控脉冲接逻辑开关,系列脉冲为秒脉冲信号,两个D 触发器的输出分别接发光二极管。①实验原理:手动提供一个脉冲,此时第一个D触发器的输出为高电平,经过一个cp脉冲后,由于第二第 10 页个D触发器的输入是第一个D触发器的输出,所以其输出也为高电平,Q非为低电平,第一个触发器立刻置零,经过一个cp脉冲的时间,第二个触发器的输出也为低电平,数码管熄灭,亮的时间为一个cp脉冲的时间间隔。②实验电路:实验电路图如图5 所示。图5图6第 11 页③实验结果:当手控脉冲输出一个脉冲信号时,单次脉冲发生器的输出端的输出一个秒脉冲信号。(2)用示波器观察单次脉冲发生器工作状态:手控脉冲和系列脉冲都接1kHz 信号,用示波器观察CP、Q1、Q2 的波形。①实验电路:实验电路图如图6所示。②用示波器观察得到的实验波形如图7所示。第 12 页 (a) CP端与Q1端波形图 (b) Q1端与Q2端波形图整理上述两幅实拍波形图,绘制出CP、Q1、Q2 的波形如下图所示。第 13 页6、用D触发器设计一个4位移位寄存器电路并进行实验(移位寄存器要求能实现串行输入,并行输出与串行输出两种方式。①设计过程:D触发器的输入为前一个触发器的输出,并且所有触发器使用同一个CP脉冲,串行输入的数据是从第一个D触发器输入。第 14 页②仿真与实验电路图:仿真与实验电路图如图7所示。图7③实验结果:4位数据实现了移位的并行和串行输出。7、用J-K触发器设计一个双向时钟脉冲产生电路并进行实验①设计过程:首先把J-K触发器设计成一个T’触发器,输出的结果和结果的非再与cp脉冲求与,就能实现双向时钟脉冲频率相同,相位不同。第 15 页②仿真与实验电路图:仿真与实验电路图如图8所示。③实验结果:得到的双向时钟脉冲波形如图9。图8图9第 16 页8、用两片74LS74(4个D触发器)实现四路竞赛抢答器电路。输入为四个按钮S4S3S2S1、总清零端、10kHz时钟脉冲。输出为4路分别连接到LED指示灯。①设计过程:4个D触发器总清零端接在一起,实现同时清零,并且不受cp脉冲的影响,没有抢答时,取4个D触发器输出的非,四个输出求与,得到的结果与cp脉冲求与,由于四个输出都为1,cp脉冲可以顺利加入四个触发器,当一个人抢答时,输出的非是0,四个输出求与第 17 页为0,阻止了cp脉冲的再次加入,此时改变其他D触发器的状态,都不能改变触发器的输出。实验要求cp脉冲的频率要比较高。②仿真与实验电路图:仿真与实验电路图如图10所示。图10③实验结果:实现了抢答器的功能。第 18 页四、实验收获1. 实验前应检查芯片的逻辑功能。接线时按照引脚功能逐步连接,线的颜色最好有所区分便于识别。2. 该实验中,应注意触发器不用的清零、置数管脚都要接上相应的电平,防止影响触发器的功能。3. 测试电路功能时,如果用电平指示器(发光二极管)观察,CP脉冲采用、1s脉冲信号或用逻辑开关,如果用示波器观察,CP脉冲采用1KHz。第 19 页4. 由于实验箱上1Hz、1KHz信号驱动能力有限,可在1KHz信号后接非门以增强驱动能力。第 20 页百度文库 搜索利用触发器设计时序逻辑电路实验内容是什么继续阅读本文档APP内免费读全文免费读触发器-时序逻辑...全文APP打印导出为WORD导出为PDF发送至微信版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领页数说明:当前展示页数为百度文库重新排版后结果,原始文档共6页相关文档数字电路实验报告-触发器的基本逻辑功能1051阅读 为你优选免费获取全文实验4 时序逻辑电路的设计与测试2228阅读免费获取全文时序逻辑电路实验报告1623阅读 宝藏文档免费获取全文实验五 时序逻辑电路实验报告 计数器2776阅读免费获取全文触发器与时序逻辑电路1114阅读免费获取全文触发器-时序逻辑电路实验报告6769阅读时序逻辑电路实验报告2847阅读实验五 时序逻辑电路实验报告万阅读数电实验 时序逻辑电路3808阅读查看更多为您精选触发器-时序逻辑电路实验报告会员文档161篇人气好文数字电路实验报告-触发器的基本逻辑功能1051人阅读实验4 时序逻辑电路的设计与测试2629人阅读热门TOP时序逻辑电路实验报告1623人阅读实验五 时序逻辑电路实验报告 计数器1000人阅读立即开通VIP基于你的浏览为你整理资料合集利用触发器设计时序逻辑电路实验内容是什么文件夹时序逻辑电路实验报告 - 百度文库分 2623阅读 85%用户都在看时序逻辑电路测试及研究 实验报告(有数据) - 百度文库分 万阅读 近期下载量飙升触发器时序逻辑电路实验报告参考模板_图文 - 百度文库分 1129阅读剩余10篇精选文档APP内一键获取全部合集2304人已获取工具 收藏 APP获取全文 获取文档下一篇

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

相关百科

热门百科

首页
发表服务