首页

毕业论文

首页 毕业论文 问题

电子琴设计毕业论文

发布时间:

电子琴设计毕业论文

楼主我这里有电子琴的单片机程序,做毕业设计那个我觉得还是自己做得好,因为你没懂的话论文答辩是过不了的。简易电子琴#include<> //包含51单片机寄存器定义的头文件sbit P14=P1^4; //将P14位定义为引脚sbit P15=P1^5; //将P15位定义为引脚sbit P16=P1^6; //将P16位定义为引脚sbit P17=P1^7; //将P17位定义为引脚unsigned char keyval; //定义变量储存按键值sbit sound=P3^7; //将sound位定义为 int C; //全局变量,储存定时器的定时常数unsigned int f; //全局变量,储存音阶的频率//以下是C调低音的音频宏定义#define l_dao 262 //将“l_dao”宏定义为低音“1”的频率262Hz#define l_re 286 //将“l_re”宏定义为低音“2”的频率286Hz#define l_mi 311 //将“l_mi”宏定义为低音“3”的频率311Hz#define l_fa 349 //将“l_fa”宏定义为低音“4”的频率349Hz#define l_sao 392 //将“l_sao”宏定义为低音“5”的频率392Hz#define l_la 440 //将“l_a”宏定义为低音“6”的频率440Hz#define l_xi 494 //将“l_xi”宏定义为低音“7”的频率494Hz//以下是C调中音的音频宏定义#define dao 523 //将“dao”宏定义为中音“1”的频率523Hz#define re 587 //将“re”宏定义为中音“2”的频率587Hz#define mi 659 //将“mi”宏定义为中音“3”的频率659Hz#define fa 698 //将“fa”宏定义为中音“4”的频率698Hz#define sao 784 //将“sao”宏定义为中音“5”的频率784Hz#define la 880 //将“la”宏定义为中音“6”的频率880Hz#define xi 987 //将“xi”宏定义为中音“7”的频率53//以下是C调高音的音频宏定义#define h_dao 1046 //将“h_dao”宏定义为高音“1”的频率1046Hz#define h_re 1174 //将“h_re”宏定义为高音“2”的频率1174Hz#define h_mi 1318 //将“h_mi”宏定义为高音“3”的频率1318Hz#define h_fa 1396 //将“h_fa”宏定义为高音“4”的频率1396Hz#define h_sao 1567 //将“h_sao”宏定义为高音“5”的频率1567Hz#define h_la 1760 //将“h_la”宏定义为高音“6”的频率1760Hz#define h_xi 1975 //将“h_xi”宏定义为高音“7”的频率1975Hz/**************************************************************函数功能:软件延时子程序**************************************************************/void delay20ms(void) {unsigned char i,j;for(i=0;i<100;i++)for(j=0;j<60;j++);}/*******************************************函数功能:节拍的延时的基本单位,延时200ms******************************************/void delay() {unsigned char i,j;for(i=0;i<250;i++)for(j=0;j<250;j++);}/*******************************************函数功能:输出音频入口参数:F******************************************/void Output_Sound(void){C=(46083/f)*10; //计算定时常数TH0=(8192-C)/32; //可证明这是13位计数器TH0高8位的赋初值方法TL0=(8192-C)%32; //可证明这是13位计数器TL0低5位的赋初值方法TR0=1; //开定时T0delay(); //延时200ms,播放音频TR0=0; //关闭定时器sound=1; //关闭蜂鸣器keyval=0xff; //播放按键音频后,将按键值更改,停止播放}/*******************************************函数功能:主函数******************************************/ void main(void){ EA=1; //开总中断ET0=1; //定时器T0中断允许ET1=1; //定时器T1中断允许TR1=1; //定时器T1启动,开始键盘扫描TMOD=0x10; //分别使用定时器T1的模式1,T0的模式0TH1=(65536-500)/256; //定时器T1的高8位赋初值TL1=(65536-500)%256; //定时器T1的高8位赋初值 while(1) //无限循环{switch(keyval){case 1:f=dao; //如果第1个键按下,将中音1的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 2:f=l_xi; //如果第2个键按下,将低音7的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 3:f=l_la; //如果第3个键按下,将低音6的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 4:f=l_sao; //如果第4个键按下,将低音5的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 5:f=sao; //如果第5个键按下,将中音5的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 6:f=fa; //如果第6个键按下,将中音4的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 7:f=mi; //如果第7个键按下,将中音3的频率赋给fOutput_Sound(); //转去计算定时常数 break; case 8:f=re; //如果第8个键按下,将中音2的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 9:f=h_re; //如果第9个键按下,将高音2的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 10:f=h_dao; //如果第10个键按下,将高音1的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 11:f=xi; //如果第11个键按下,将中音7的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 12:f=la; //如果第12个键按下,将中音6的频率赋给fOutput_Sound(); //转去计算定时常数 break; case 13:f=h_la; //如果第13个键按下,将高音6的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 14:f=h_sao; //如果第14个键按下,将高音5的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 15:f=h_fa; //如果第15个键按下,将高音4的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 16:f=h_mi; //如果第16个键按下,将高音3的频率赋给fOutput_Sound(); //转去计算定时常数 break; } } } /**************************************************************函数功能:定时器T0的中断服务子程序,使引脚输出音频方波**************************************************************/ void Time0_serve(void ) interrupt 1 using 1 {TH0=(8192-C)/32; //可证明这是13位计数器TH0高8位的赋初值方法TL0=(8192-C)%32; //可证明这是13位计数器TL0低5位的赋初值方法 sound=!sound; //将引脚取反,输出音频方波}/**************************************************************函数功能:定时器T1的中断服务子程序,进行键盘扫描,判断键位**************************************************************/ void time1_serve(void) interrupt 3 using 2 //定时器T1的中断编号为3,使用第2组寄存器{TR1=0; //关闭定时器T0P1=0xf0; //所有行线置为低电平“0”,所有列线置为高电平“1”if((P1&0xf0)!=0xf0) //列线中有一位为低电平“0”,说明有键按下{delay20ms(); //延时一段时间、软件消抖if((P1&0xf0)!=0xf0) //确实有键按下{P1=0xfe; //第一行置为低电平“0”(输出低电平“0”)if(P14==0) //如果检测到接引脚的列线为低电平“0”keyval=1; //可判断是S1键被按下if(P15==0) //如果检测到接引脚的列线为低电平“0”keyval=2; //可判断是S2键被按下if(P16==0) //如果检测到接引脚的列线为低电平“0”keyval=3; //可判断是S3键被按下if(P17==0) //如果检测到接引脚的列线为低电平“0”keyval=4; //可判断是S4键被按下P1=0xfd; //第二行置为低电平“0”(输出低电平“0”)if(P14==0) //如果检测到接引脚的列线为低电平“0”keyval=5; //可判断是S5键被按下if(P15==0) //如果检测到接引脚的列线为低电平“0”keyval=6; //可判断是S6键被按下if(P16==0) //如果检测到接引脚的列线为低电平“0”keyval=7; //可判断是S7键被按下if(P17==0) //如果检测到接引脚的列线为低电平“0”keyval=8; //可判断是S8键被按下P1=0xfb; //第三行置为低电平“0”(输出低电平“0”)if(P14==0) //如果检测到接引脚的列线为低电平“0”keyval=9; //可判断是S9键被按下if(P15==0) //如果检测到接引脚的列线为低电平“0”keyval=10; //可判断是S10键被按下if(P16==0) //如果检测到接引脚的列线为低电平“0”keyval=11; //可判断是S11键被按下if(P17==0) //如果检测到接引脚的列线为低电平“0”keyval=12; //可判断是S12键被按下P1=0xf7; //第四行置为低电平“0”(输出低电平“0”)if(P14==0) //如果检测到接引脚的列线为低电平“0”keyval=13; //可判断是S13键被按下if(P15==0) //如果检测到接引脚的列线为低电平“0”keyval=14; //可判断是S14键被按下if(P16==0) //如果检测到接引脚的列线为低电平“0”keyval=15; //可判断是S15键被按下if(P17==0) //如果检测到接引脚的列线为低电平“0”keyval=16; //可判断是S16键被按下}}TR1=1; //开启定时器T1TH1=(65536-500)/256; //定时器T1的高8位赋初值TL1=(65536-500)%256; //定时器T1的高8位赋初值 }

简易电子琴的设计摘 要 随着基于CPLD的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制用计算机等领域的重要性日益突出。作为一个学电子信息专业的学生,我们必须不断地了解更多的新产品信息,这就更加要求我们对EDA有个全面的认识。本程序设计的是简易电子琴的设计。采用EDA作为开发工具,VHDL语言为硬件描述语言,MAX + PLUS II作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,初步实现了设计目标。本程序使用的硬件描述语言VHDL,可以大大降低了硬件数字系统设计的入门级别,让人感觉就是C语言的近亲。通过老师的指导和自己的学习完成了预想的功能。关键词 电子琴;课程设计;EDA;VHDL1 引言 课程设计的目的巩固和运用所学课程,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力,通过对一个简易的八音符电子琴的设计,进一步加深对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。巩固所学课堂知识,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力。为了进一步了解计算机组成原理与系统结构,深入学习EDA技术,用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。 课程设计的内容(1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。(2)演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。(3)能够自动演奏多首乐曲,且每首乐曲可重复演奏。2 开发工具简介 EDA技术EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。也就是说,综合器是软件描述与硬件实现的一座桥梁。综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD相映射的网表文件。适配器的功能是将由综合器产生的王表文件配置与指定的目标器件中,产生最终的下载文件,如JED文件。适配所选定的目标器件(FPGA/CPLD芯片)必须属于在综合器中已指定的目标器件系列。硬件描述语言HDL是相对于一般的计算机软件语言,如:C、PASCAL而言的。HDL语言使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。设计者可利用HDL程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后利用综合器和适配器将此程序编程能控制FPGA和CPLD内部结构,并实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。目前,就FPGA/CPLD开发来说,比较常用和流行的HDL主要有ABEL-HDL、AHDL和VHDL。硬件描述语言—VHDLVHDL的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点是多方面的。(1) 与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。(2) VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。(3) VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。(4) VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。 VHDL的设计流程:(1) 设计输入根据电路设计所提出的要求,将程序输入到VHDL编辑器中去编辑。(2) 功能级模拟用VHDL,模拟器对编辑后的程序进行模拟,如果达不到设计要求,则可以重新修改程序,直到通过功能模拟。(3) 逻辑综合与优化 将通过功能模拟的程序放到VHDL编译器中,进行逻辑综合与优化。(4) 门级模拟对电路用VHDL。仿真器仿真。可对门级电路的延时、定时状态、驱动能力等进行仿真。如不符合要求,可重复步骤(3),再门级模拟,直到符合要求止。(5) 版图生成 用相应的软件处理后,就可以拿去制版。设计过程设计规划根据系统设计要求,系统设计采用自顶向下的设计方法,系统的整体组装设计原理图如图3-1所示,它由乐曲自动演奏模块、音调发生模块和数控分频模块三部分组成。图3-1 系统的整体组装设计原理图 各模块的原理及其程序(1)乐曲自动演奏模块乐曲自动演奏模块()的作用是产生8位发声控制输入信号/当进行自动演奏时,由存储在此模块中的8位二进制数作为发声控制输入,从而自动演奏乐曲。VHDL源程序()LIBRARY IEEE;USE ;USE ;USE ;ENTITY AUTO ISPORT ( CLK : IN STD_LOGIC;AUTO : IN STD_LOGIC;CLK2 : BUFFER STD_LOGIC;INDEX2 : IN STD_LOGIC_VECTOR(7 DOWNTO 0);INDEX0 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END AUTO;ARCHITECTURE BEHAVIORAL OF AUTO ISSIGNAL COUNT0: INTEGER RANGE 0 TO 31;BEGINPULSE0 :PROCESS(CLK,AUTO)VARIABLE COUNT :INTEGER RANGE 0 TO 8;BEGINIF AUTO ='1' THENCOUNT := 0;CLK2<='0';ELSIF(CLK'EVENT AND CLK ='1')THENCOUNT :=COUNT +1;IF COUNT =4 THENCLK2 <='1';ELSIF COUNT =8 THENCLK2<='0'; COUNT:=0;END IF ;END IF ;END PROCESS;MUSIC:PROCESS(CLK2)BEGINIF (CLK2'EVENT AND CLK2='1')THENIF (COUNT0=31)THENCOUNT0<=0;ELSECOUNT0<=COUNT0+1;END IF ;END IF ;END PROCESS;COM1:PROCESS(COUNT0,AUTO,INDEX2)BEGINIF AUTO ='0' THENCASE COUNT0 ISWHEN 0=>INDEX0<="00000100"; --3WHEN 1=>INDEX0<="00000100"; --3WHEN 2=>INDEX0<="00000100"; --3WHEN 3=>INDEX0<="00000100"; --3WHEN 4=>INDEX0<="00010000"; --5WHEN 5=>INDEX0<="00010000"; --5WHEN 6=>INDEX0<="00010000"; --5WHEN 7=>INDEX0<="00100000"; --6WHEN 8=>INDEX0<="10000000"; --8WHEN 9=>INDEX0<="10000000"; --8WHEN 10=>INDEX0<="10000000"; --8WHEN 11=>INDEX0<="00000100"; --3WHEN 12=>INDEX0<="00000010"; --2WHEN 13=>INDEX0<="00000010"; --2WHEN 14=>INDEX0<="00000001"; --1WHEN 15=>INDEX0<="00000001"; --1WHEN 16=>INDEX0<="00010000"; --5WHEN 17=>INDEX0<="00010000"; --5WHEN 18=>INDEX0<="00001000"; --4WHEN 19=>INDEX0<="00001000"; --4WHEN 20=>INDEX0<="00001000"; --4WHEN 21=>INDEX0<="00000100"; --3WHEN 22=>INDEX0<="00000010"; --2WHEN 23=>INDEX0<="00000010"; --2WHEN 24=>INDEX0<="00010000"; --5WHEN 25=>INDEX0<="00010000"; --5WHEN 26=>INDEX0<="00001000"; --4WHEN 27=>INDEX0<="00001000"; --4WHEN 28=>INDEX0<="00000100"; --3WHEN 29=>INDEX0<="00000100"; --3WHEN 30=>INDEX0<="00000010"; --2WHEN 31=>INDEX0<="00000010"; --2WHEN OTHERS =>NULL;END CASE;ELSE INDEX0<=INDEX2;END IF;END PROCESS;END BEHAVIORAL;(2) 音调发生模块音调发生模块的作用是产生音阶的分频预置值。当8位发声控制输入信号中的某一位为高电平时,则对应某一音节的数值将输出,该数值即为该音阶的分频预置值,分频预置值控制数控分频模块进行分频,由此可得到每个音阶对应的频率。VHDL源程序()LIBRARY IEEE;USE ;USE ;USE ;ENTITY TONE ISPORT (INDEX: IN STD_LOGIC_VECTOR(7 DOWNTO 0);CODE: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);HIGH: OUT STD_LOGIC;TONE0: OUT INTEGER RANGE 0 TO 2047);END TONE;ARCHITECTURE ART OF TONE ISBEGINSEARCH : PROCESS(INDEX)BEGINCASE INDEX ISWHEN "00000001"=>TONE0 <=773;CODE<="1001111";HIGH<='1';WHEN "00000010"=>TONE0 <=912;CODE<="0010010";HIGH<='1';WHEN "00000100"=>TONE0 <=1036;CODE<="0000110";HIGH<='1';WHEN "00001000"=>TONE0 <=1116;CODE<="1001100";HIGH<='1';WHEN "00010000"=>TONE0 <=1197;CODE<="0100100";HIGH<='1';WHEN "00100000"=>TONE0 <=1290;CODE<="0100000";HIGH<='0';WHEN "01000000"=>TONE0 <=1372;CODE<="0001111";HIGH<='0';WHEN "10000000"=>TONE0 <=1410;CODE<="0000000";HIGH<='0';WHEN OTHERS =>TONE0<=2047;CODE<="0000001";HIGH<='0';END CASE;END PROCESS;END ART;(3) 数控分频模块数控分频模块是对时基脉冲进行分频,得到与1、2、3、4、5、6、7七个音符相对应的频率。VHDL源程序()LIBRARY IEEE;USE ;USE ;USE ;ENTITY FENPIN ISPORT(CLK1: IN STD_LOGIC;TONE1: IN INTEGER RANGE 0 TO 2047;SPKS: OUT STD_LOGIC);END ENTITY FENPIN;ARCHITECTURE ART OF FENPIN ISSIGNAL PRECLK:STD_LOGIC;SIGNAL FULLSPKS:STD_LOGIC;BEGINPROCESS(CLK1)VARIABLE COUNT:INTEGER RANGE 0 TO 8;BEGINIF (CLK1'EVENT AND CLK1='1')THENCOUNT:=COUNT +1;IF COUNT=2 THENPRECLK<='1';ELSIF COUNT =4 THENPRECLK<='0';COUNT:=0;END IF ;END IF ;END PROCESS;PROCESS(PRECLK,TONE1)VARIABLE COUNT11:INTEGER RANGE 0 TO 2047;BEGINIF (PRECLK'EVENT AND PRECLK='1')THENIF COUNT11CLK32MHZ,INDEX2=>INDEX1,INDEX0=>INDX,AUTO=>HANDTOAUTO);U1:TONEPORTMAP(INDEX=>INDX,TONE0=>TONE2,CODE=>CODE1,HIGH=>HIGH1);U2:FENPIN PORT MAP(CLK1=>CLK32MHZ,TONE1=>TONE2,SPKS=>SPKOUT);END ART;4 波形仿真(1)乐曲自动演奏模块的仿真(如图4-1所示)图4-1乐曲自动演奏模块的仿真图(2)音调发生模块的仿真(如图4-2)图4-2 音调发生模块的仿真图(3)数控分频模块的仿真(如图4-3)图4-3数控分频模块仿真图(4)简易电子琴整个系统的仿真(如图4-4)图4-4简易电子琴整个系统的仿真图5 结束语经过努力,简易电子琴的设计基本上完成了。在整个设计过程中,包括前期中期和后期,我都有着许多不同的体会:1) 这个设计的基本是接触一门新的语言并加以应用,对于我来说,没有想到的是入手的速度比我的预料快,在以前编程的基础上,从接触到开始动手编程的时间得到了很大的缩短。知识的接收速度在很大的程度上决定了动手的时间。2) VHDL的编程与C语言的编程有着本质的不同,然而以往形成的旧编程习惯在VHDL编程中依然起着很大的作用。一通百通,不是没有道理的。对于学习新的知识并予以应用的信心,显得更足了。3) VHDL的设计关键是电路逻辑设计,而一个程序的关键是总体设计。对于硬件设计接触不多的我们清楚这一点也许不无好处。4)通过这个程序设计让我学会一种新的语言,对数字系统结构也有了更进一步的了解和认识,对我以后的学习有很大的帮助。希望其他人在看再做类似设计时有所借鉴。通过几天的课程设计,我对数据库软件EDA技术、VHDL、等系列知识都有了一定的了解。使用EDA技术开发页面的能力也有了很大提高。在整个设计过程中,有很多人对任务的完成给予了重要的支持和帮助。感谢老师给了我本次设计的机会并提供指导;感谢许多同学在我此课程设计遇到问题时给我的帮助使我能够顺利地进行设计的工作;论坛中有很多认识不认识的朋友也都为我的设计提出了很宝贵的建议,同样在这里感谢他们。参考文献《VHDL与数字电路设计》.卢毅, 赖杰. 科学出版社《VHDL语言100例详解——北京理工大学ASIC研究所》.北京理工大学ASIC研究所. 清华大学出版社《VHDL 程序设计》(第二版). 曾繁泰等. 清华大学出版社《VHDL入门与应用》陈雪松, 滕立中 .人民邮电出版社 《VHDL简明教程》.王小军 .清华大学出版社

趣味电子琴设计毕业论文

简单的说就是按键触发信号发给处理器,再由处理器调用音色库音色通过功放电路输出或者通过数码接口进行数字输出。控制面板上的按钮来选择处理器对音色、音量、输出方式、伴奏的控制。 玩具和简单的老式电子琴就简单得多,按键做为开关接通内部发声单元,进行固定频率的发声。或者由初级的单片机处理发声频率。 电子琴的工作原理一般有FM(调频)模式和PCM(采样)模式。 现在常用的电子琴的常见品牌有YANMAHA 和CASIO,海南的乐手比较喜欢使用YAMAHA的产品,因为它的设置比较合理,现场使用非常 方便;音色比较真实,使用效果更佳。 单独用一具电子琴,就可以现场作出类似一支完整乐队的效果。所以现在一些演出场合中,比较流行一具电子琴(有些会再加上一具合成器)的单人编制(简称单编),或一具电子琴和一把吉他的双人编制(简称双编)。搞单编需要乐手具备较高的电子琴操作和演奏水平。本版中的“啊方十指琴魔”就是这样的单编高手之一。搞单编或双编对电子琴的要求也较高,在YAMAHA的电子琴系列中,一般要PSR 410以上级别的产品才能达到乐手们常说的“能用”的水平。 电振荡乐 器 以电振荡作为激励声源的乐器,称为电振荡乐器 。 目前人们能见到的各种电子琴、电子合成器、电子鼓机等都属于电振荡 乐器。 说明:当演奏者按下键盘中的某一琴键时,琴键下面的电路就将信号传 送给振荡器 1 和振荡器2,产生相应的音高振荡频率;手指触键的力度信号 也会同时传给包络发生器 1 和包络发生器2,并通知滤波器和放大器产生相 应的音量及音色的变化;最后,声音信号通过放大器输出。图中低频振荡器 的作用,是能够根据演奏者的需要,对所有其它部分——振荡器、滤波器和 放大器——进行调制,从而使合成器能够发出颤音的效果。 目前,国外生产的电子合成器以及较高档的电子琴都有MIDI 接口,相互 间可串接使用。目前较流行的使用方法是用一台计算机通过MIDI 接口来控制 所有相关的电子乐器和音响效果发生器,从而能够方便地产生丰富多彩的音 乐音响效果。

这问题,见百度百科...

电声小提琴是通过电来发声的,而传统的小提琴是通过共振箱的共振发声的 电声小提琴是近代的产物,它比起传统的声学提琴来有几个优点,一个是公共场合的演奏声音更饱满音色更亮,而传统的小提琴的话,不是用很优秀的琴就可能无法得到完美的演奏效果,因为室外的很多东西可以吸音.其次是电声小提琴的音色可以调节,并且有时候琴身的特殊设计可以让演奏家在拉小提琴的高把位的时候更加舒适. 所以,电声小提琴琴适用于大型的露天的场合,如果使用得当,可以获得很好的演出效果. 当然,它也有不及传统的小提琴的地方,那就是它的音色被认为无法与传统的声学小提琴相媲美,这点很难让传统的演奏家们喜欢上这种新型的乐器.小提琴(violin)是一种超擦奏管弦得鸣提乐器。它广泛流传于世界各国,是现代管弦乐队弦乐组中最主要的乐器。它在器乐中占有极重要的位置,是现代交响乐队的支柱,也是具有高难度演奏技巧的独奏乐器。现代小提琴的出现已有300多年的历史,其制作本身是一门极 小提琴为精致的乐器。小提琴音色优美,接近人声,音域宽广,表现力强,从它诞生那天起,就一直在乐器中占有显著的地位,为人们所宠爱。如果说钢琴是“乐器之王”,那么小提琴就是乐器的“王后”了。 几个世纪以来,世界各国的著名作曲家写作了大量的小提琴经典作品,小提琴演奏家在这种乐器上发展了精湛的演奏艺术。小提琴既可以合奏,又可以进行独奏。 小提琴是一种四条弦的弓弦乐器,是提琴家族中的主要成员(该族系中的其它成员是:中提琴,大提琴和低音提琴)。现代小提琴起源于意大利的克瑞莫纳,在1600-1750年间成为最大的小提琴制作中心。著名的制琴大师有:Nicola Amati(尼古拉·阿马蒂),Antonio Stradivari(安东尼奥·斯特拉底瓦里),及Giuseppe Guarneri (吉塞浦·瓜奈里);他们制造的乐器至今都是无价之宝。小提琴的五度定弦为:g, d1, a1, e2, 音域超过3 个半组,是所有管弦乐团必不可少的乐器,也是乐器之后。编辑本段形状构造小提琴由30多个零件组成。其主要构件有琴头、琴身、琴颈、弦轴、琴弦、琴马、腮托、琴弓、面板、侧板、音柱等。 小提琴共有四根弦,分为:A弦,E弦,D弦和G弦。 小提琴主要构件小提琴琴身(共鸣箱)长约厘米,由具有弧度的面板、背板和侧板粘合而成。面板常用云杉制作,质地较软;背板和侧板用枫木,质地较硬。琴头、琴颈用整条枫木,指板用乌木。小提琴的音质基本上取决于它的木质和相应的结构,取决于木材的振动频率和它对弦振动的反应。优质琴能把发出的每个声音的基音和泛音都同样灵敏地传播出去。 小提琴有琴弦4根。原均为羊肠制的裸弦,约从18世纪起,低音G弦常包以银丝,使其反应灵敏。现代则将G、D、A3根弦用缠金属丝的羊肠弦或钢丝缠弦,晚近也用尼龙弦。E弦改用钢丝弦,使其在高音区的音色更佳。 小提琴制作成现代这种样式,并非完全从形态美观出发,而是有其音响上和演奏上的需要。小提琴面板和背板有弧度,使其共鸣良好,发音洪亮;琴的腰身狭窄,便于演奏高把位和低音弦;面板和背板加嵌条,除防止木板开裂外,对琴的音质也起一定作用。面板与背板中间有音柱支撑,其位置变化对小提琴音色影响明显。面板左下面粘低音梁,既起加固作用,又具音响作用。小提琴表面的油漆如太硬、太软,或 小提琴琴弓漆得不匀,都会有损于音质。当琴弓与琴弦摩擦使琴弦振动时,通过琴马引起面板振动,又通过音柱使背板振动,E弦振动较少,而G弦振动较大,从而使低音梁有更大振动,并造成共鸣箱的振动。能否使琴声得以充分发挥,取决于琴弦及其张力、琴马质量、运弓的压力和速度。要想把琴的各种音质都表达出来,还要加上演奏者的弓法、指法和揉弦等演奏技巧。 尺寸适用对照表 规格 尺寸 适合年龄 备注 1/8 255mm 4-5岁 1/4 280mm 6-8岁 1/2 310mm 9-11岁 3/4 335mm 12-14岁 身高稍小的成年人,或身高米左右的人 4/4 356mm 15岁以上 身高以上 1/16 基本上作为模型或者摆设的居多编辑本段发展简史目前对小提琴最早的明确记载是 Jambe de Fer 于1556年出版于里昂的《音乐摘要》(Epitome musical)。此时小提琴已经传遍欧洲。但关于小提琴的起源,史学家有许多不同说法,有一说是起源于“乌龟壳琴”,有个年轻人在沙滩上散步,忽然听到一种悦耳的声音,他仔细一找,原来是踢到空龟壳,龟壳震动发出的声音。他回家一琢磨,发明了一种类似空龟壳的乐器。这就是小提琴的开山鼻祖。后来,人们把它演变成现在的样子,可“万变不离其宗”,小提琴的琴孔还是龟背壳演变的样子。有说是起源于北非,有说是起源于印度,也有说是起源于西欧等等。有这么一个传说:5千年前斯里兰卡有一位君主名叫瑞凡那,他把圆柱形的木头掏空制成了与我国二胡极为相似的乐器称瑞凡那 高档小提琴斯特隆(Ravanastron),在漫长的历史长河中,瑞凡那斯特隆随着贸易往来而流传四方,这便是小提琴的鼻祖了。不过从有史料记载起,最早的小提琴是由一位住在意大利北部城镇布里细亚(Brescia)名叫达萨洛制成的(Gaspa ro da salo 1542-1609)。但在同一个时期,格里蒙那(Cremona)城中的A.阿玛蒂(AndreaAmatil520-1580),也制作了与现代小提琴更为相近似的小提琴。从16世纪到18世纪,意大利的小提琴制造业随着音乐艺术的空前繁荣而得到了迅速的发展,出现了G.P玛基尼、N.阿玛蒂、A.斯特拉第瓦利和C.爪内利四位杰出名匠。18世纪以后,世界各国的小提琴制造业都是仿照意大利这些小提琴制作者的琴型和尺寸来制作小提琴的。近百年来,小提琴的结构也没什么大的改变,从这个意义上讲,意大利是小提琴的故乡。而玛基尼、阿玛蒂、斯特拉第瓦利、瓜内利当年所制作的小提琴,现今已成了稀世珍宝、旷世杰作。 最早的现代意义上的小提琴大约产生于十六世纪中叶,那时的许多珍品现在还保存在欧洲一些博物馆内。小提琴的起源可以追溯到2000多年前的埃及乐器“里拉”(Lyre),十五世纪,意大利人对其进行了改革,并用马尾制成弓子拉奏,定名为Violin,即小提琴。后又经过多年演变,小提琴的形成与制作才基本固定下来。 现存最早的小提琴是一把“查理九世”(Charles IX),由安德里亚·阿玛蒂在1560年制作于意大利北部城市克雷莫纳(Cremoa)。而至今为止最有名的小提琴,应该是安东尼奥·斯特拉底瓦里(Antonio Stradivari)1716年制作的“弥赛亚”(Le Messie),也作“Salabue”,这把琴现藏于英国牛津的Ashmolean博物馆。 现藏柏林的一把斯特拉迪瓦里琴近代小提琴约在1550年就已为人们所熟悉,系由当时流行的乐器雷贝克和臂提利拉琴演变而来。通常所说小提琴前身维奥尔,在构造、调弦、演奏技巧等方面,对现代小提琴的形成都无决定性影响。人们曾普遍认为意大利北部的米兰、威尼斯、布雷西亚和克雷莫纳一带是小提琴的诞生地。16世纪后期,意大利的小提琴制作业出现了两个著名的小提琴制作流派,一派是以阿马蒂父子为代表的克雷莫纳制琴派;另一派是以萨洛的加斯帕罗(1540~1609)和他的学生.马吉尼为代表的布雷西亚制琴派。这两派制作的小提琴各有特长,经历了几百年,至今仍属上等珍品。 1650~1750年,是小提琴制作的黄金时代,出现了许多著名小提琴制作家,如N.阿马蒂、J.斯坦纳,以及被人们认为最杰出的制作家A.斯特拉迪瓦里和G.瓜尔内里等人。阿马蒂所制小提琴的面板和背板弧度较大,音质好,用来演奏室内乐,有如明亮的女高音。18世纪后期,.维奥蒂赞扬了斯特拉迪瓦里琴,维奥蒂的老师G.普尼亚尼与N.帕格尼尼喜爱瓜尔内里琴之后,这两位制琴大师的作品才被人们所欣赏,并取得了巨大名望。斯特拉迪瓦里和瓜尔内里琴具有在大厅中演奏协奏曲时所需要的音响传送力。 18世纪后,小提琴制作业的领先地位从意大利转至法国。这个时期小提琴的造型不断改进,已取得更大音量和更好的音质。法国制琴家N.吕波(1758~1824)以斯特拉迪瓦里为典范,把法国的制琴技术和意大利的制琴技术结合在一起。与此同时,法国的F.图尔特(1747~1835)约在1785年对琴弓的长度、重量、形状、装置等方面又进行了重大改革。小提琴在这个时期的发展,反映了J.海顿、.莫扎特和 贝多芬作品中具有的歌唱性,以及运弓方面的更大变化等对小提琴性能上的要求。 1789~1799年,法国大革命之后,随着贵族与皇室的衰落,音乐也从宫廷走向民间,出现了为公众服务的交响乐队和音乐厅。为适应环境的变革,小提琴需要增大音量。18世纪末~19世纪初,小提琴琴颈加长变细,并向后倾斜:指板变长;琴马变高,并具更大的弧度;G弦早已包有银丝。这些变革的目的是为适应更大的张力。琴弦的增长使琴面上的压力增大,于是低音梁变长变厚,音柱也加粗,以此获得更大更有力的声音。1820年前后L.施波尔发明了腮托,使左手从完全承担持琴的作用中解放出来。腮托的设置,使左手在换把、揉弦、按弦更加自如。 小提琴18世纪末,音乐学院在欧洲相继出现,它使小提琴的需求量大大增加,从而促进了机器制琴业的发展。法国的米尔库、德国的米滕瓦尔德都是大量生产小提琴的地方。法国的.维约姆是 19世纪制琴业的著名人物。 维约姆雇用一些工人,在他的指导下制造小提琴,并以其名为牌号出售。他从世界各地搜集到许多散失在私人手中的优质琴,把它们送到演奏家、收藏家的手中,或者是博物馆。 巴洛克时期的德国伟大作曲家巴哈曾于1720年为小提琴创作了六首无伴奏作品:三首奏鸣曲,三首古组曲,是小提琴独奏曲的精华。今天请朋友们欣赏的是:巴哈的《 E大调前奏曲》,选自其第三首无伴奏组曲,由20世纪杰出小提琴家Itzhak Perlman 于1988年录制。它使用的是Guarneri – Gesu 小提琴,制作于1740年。 西洋小提琴传入中国是在清朝末年(约1920年代)。民国初,学堂音乐教育兴起,人们对外国音乐发生兴趣。从1920年代开始,世界著名小提琴大师先后到中国演出,鼓舞了许多热爱音乐的青年学习小提琴,并随之在北京,上海,广州,福建等地创立了音乐专科;许多高水平的小提琴家来华工作,同时也培养了众多中国自己的教师和演奏家,如:马思聪,刘天华,冼星海和黎国荃等。从这一时期开始,也陆续出版和翻译了不少《小提琴演奏法》,并有作曲家创作出许多经典的中国小提琴曲,像是《梁祝》和《苗岭的早晨》等,都是由上海音乐学院教授陈刚先生所作。 中档小提琴从1980年代开始,一批中国自己培养的青年小提琴家分别在众多的国际大赛中获奖,胡坤既是其中第一位。他曾在北京中央音乐学院师从林耀基教授,并获得芬兰西贝柳斯国际小提琴比赛的第五名好成绩。请欣赏他1999年演奏的《苗岭的早晨》,由陈刚根据苗族口笛独奏编曲。胡坤现在任教于英国梅纽因音乐学校和皇家音乐学院,他使用的是一把制作于1734年的小提琴。 中国在小提琴制造上,近年享有国际声誉。广州乐器厂陈锦农所制红棉牌小提琴,1980年获美国第4届国际提琴制作比赛“音质金奖”;北京提琴厂戴宏祥所制小提琴,获1983年于联邦德国卡塞尔市举行的斯波尔国际提琴制作比赛的“音质金奖”。编辑本段演奏艺术演奏 高档独奏小提琴艺术的发展 16世纪,小提琴开始在意大利出现时,一般用来伴舞、伴唱,或直接演奏歌曲。17世纪初,随着小提琴奏鸣曲的出现,演奏技术也相应发展。C.法里纳(约1600~约1640)在1627年的创作中,就采了用双音、震音、颤音及高把位,并模拟猫叫、狗吠、笛、鼓、吉他等声音。一些演奏家与作曲家也竞相仿效; 于是模拟杜鹃、夜莺、公鸡等声音的作品,充斥于当时的乐坛。直到17世纪后半叶,意大利作曲家和小提琴家A.科雷利才把小提琴艺术引上了正途。编辑本段演奏要点小提琴属于歌唱性的旋律乐器。因此,如何在小提琴上发出歌唱般的丰满、动听的声音,是小提琴演奏中最为重要的问题。就小提琴的演奏技术来说,有以下各种主要基本功。运弓优秀的演奏家能在小提琴上发出千变万化的声音,就运弓而言,取决于运弓的速度、弓在弦上的压力以及弓和弦的接触点这3种因素的不同结合。小提琴的弓法繁多,就其主要的有以下几种:①分弓:一弓演奏一个音,音要拉的干净,清楚;②连弓:一弓演奏许多音,在很多乐曲中都会用到,是最常用的弓法之一;③顿弓:音与音之间断开;④跳弓:弓毛离开琴弦。这4类弓法是最基本的,在20世纪中期,连顿弓,即在一弓中连续快速演奏许多音与音之间是断开的音,被人视为绝技,随后又出现了“自然跳弓”,即弓毛在琴弦上,而听起来或看起来像跳弓一样。所以人们把小提琴演奏艺术称之为“运弓的艺术”。音准歌唱和乐器演奏中所发的音高,能与一定律制的音高相符,称为音准。有些乐器在制造或调音时就有音准要求。歌唱和乐器演奏过程中,随时都要通过演唱者和演奏者的控制来解决音准。音准的取得,有赖于敏锐的听觉、优良的乐器、精湛的技巧与适宜的演出环境。乐器的形体结构、音孔位置、张力变化以及空气湿度,都与音准有关。就弦乐器讲,长时间演奏及气温上升,均使弦松弛,因此弦乐器音准的突出问题是如何矫正偏低。就管乐器讲,虽然气温上升使管体略微伸长,但同时气压降低,声速提高,频率也随之增高(据实测,气温每升10℃可使管乐器发音升高3音分),因此管乐器音准的突出问题是如何矫正偏高。歌唱及弦乐器、管乐器的音准,当有钢琴伴奏时,都以平均律为准则;但由于平均律的许多音程听起来并不严格协和,所以在独唱、独奏、重唱、重奏时,常常需要偏离平均律而趋近纯律或五度相生律,才算达到音准要求。揉弦揉弦是小提琴,二胡,吉他等弦乐演奏所掌握的最具表现力的演奏技巧之一。在乐句适当的地方加上适当的揉弦,会比没有揉弦的乐句在声音上要生动的多。 揉弦是一个小提琴演奏很有表现力的技巧,用它可以来表现不同风格和特征的每一个音或每一个乐段。揉弦的要点是怎样找到手的最佳动作,用速度快慢、揉弦宽窄来演奏出每一个乐段独特的风格和特征。 肘臂揉弦和手腕揉弦,两者都要练习。以能够表现出最好的音乐特征,来选择揉弦的速度和宽窄。 有几种方法,初学者可以试试,可能会有利于学习揉弦: 1.一是做指端关节前后屈仰动作。先在桌子上练,分别练习各个手指一关节向后躺下(不是完全贴在桌子上),再立起的动作,再分别作左右晃动。由快到慢,最后五个指头一起练习。 2.首先应学会手腕揉弦。其方法可先将手臂放在大腿上或椅臂上,然后将手腕放松前后摇动,力求平均,待动作习惯自如后,再放到指板上练习。 同时要注意: 在手腕前后揉动时,带动手指的关节,手指的触弦点不能随着手腕的揉动而移位。在练习手腕揉弦时,可先从三指开始。因为用三指揉动可以使动作更为宽松,然后再练习二指和一指。而练习四指时,还可将三指紧靠四指帮助揉动。这样的练习会使颤动的效果更为自如。在手腕揉弦练习的基础上也可逐渐学习和熟悉手臂的揉弦和手指的揉弦。目的是为了更好的丰富演奏上的表现力,适应于各种力度和情绪变化的需要。 3.还有大臂揉弦、手指揉弦。大臂揉弦多用于长的重低音,主要体现出乐曲的浑厚和伤感,手指揉弦多用于半拍的高音,可以表现出乐曲的欢快和优美,而想学好这些,前提是熟练的掌握手臂揉弦。把位左手手指在指板上的位置,称之为把位。靠近琴头的把位为低把,靠近琴马的为高把。从一个把位换到另一个把位,称为换把。换把位的方法有多种,例如空弦换把,同指换把,不同指以及泛音换把等。换把时产生非音乐需要的滑音,是技巧训练不足的标志。滑音可以使音与音之间的连接富于变化,增加一个优美的过度。特别是结合换把使用滑音,是一种富于表现力的演奏手段。双音与和弦小提琴可以同时演奏两个音甚至是3个音,也可以分奏4个音的和弦,这不仅丰富了它的表现力,并可不依赖其他乐器的伴奏进行单独演奏。小提琴的三度、六度、八度以及十度双音音阶,是演奏双音的基础,也是小提琴家必须终身练习的一项基本功。小提琴演奏中的左手颤音、泛音、拨弦等,都是一些高深的技巧。 双手技巧 小提琴的左手技巧:音阶、双弦、换把、颤指、泛音、拨奏。 右手技巧有:连弓、分弓、顿弓、跳弓、波弓、击弓、碎弓。 等等。小提琴家的魅力不少在于右手神奇的运弓。 小提琴特殊奏发在总谱中的标记: 拨弦:pizz 恢复正常演奏为arco 靠近琴马:sul pont恢复正常演奏为ord 靠近指板:sul tasto恢复正常演奏为ord 弓杆击弦:col legno恢复正常演奏为arco 加弱音器:con sord摘除弱音器为senza sord 另外在管弦乐里分部为div,齐奏为unisppp 最弱 pp很弱 p弱 mp中弱 mf中强 f强 ff很强 fz最强 fz或sf加强音 pizz拨奏 arco用弓拉奏(在拨奏之后) solo独奏 tutti全奏(全乐队) 8va高八度 .全弓 .上半弓 .下半弓 M.中弓 Fr弓根 Sp弓尖

下面的都是毕业论文范文,有用的话,请给我红旗LMX2350/LMX2352芯片简介及电路设计基于LMX2306/16/26 芯片简介及应用电路设计 基于LT5500f 的 GHzLNA/混频器电路设计基于LT5517 40MHZ到90NHZ 积分解调器的设计基于LT5527的400MHz至高信号电平下变频混频器电路设计基于LT5572的芯片简介及应用电路设计基于LT5516的芯片简介及应用电路设计 基于MAX2039的芯片简介及应用电路设计 基于MAX2102/MAX2105芯片简介及应用电路设计基于MAX2106 芯片简介及应用电路设计 基于MAX2323/MAX2325 的芯片简介及应用电路设计 基于MAX2338芯片简介及应用电路设计 基于MAX2511的芯片简介及应用电路设计 基于MAX2685的芯片简介及应用电路设计 基于MAX2753的芯片简介及应用电路设计基于MAX9981芯片简介及应用电路设计基于MAX9994的芯片简介及应用电路设计 基于MAX9995的芯片简介及应用电路设计基于MC12430的芯片简介及应用电路设计基于MC88920芯片简介及应用电路设计基于MPC97H73的简介及电路设计基于MPC9229 芯片简介及应用电路设计 基于mpc9239芯片简介及应用电路设计 基于MPC9992 芯片简介及应用电路设计基于mpc92433芯片的简介及应用电路设计基于TQ5121的无线数据接收器电路设计基于TQ5135的芯片简介及应用电路设计基于TQ5631 3V PCS波段CDMA射频放大混频器电路设计语音信号处理技术及应用网络文档发放与认证管理系统网络配置管理对象分析与应用三维激光扫描仪中图像处理快速算法设计基于分形的自然景物图形的生成图像压缩编码基于奇异值分解的数字图像水印算法研究数字图象融合技术汽车牌照定位与分割技术的研究焦炉立火道温度软测量模型设计加热炉的非线性PID控制算法研究直接转矩控制交流调速系统的转矩数字调节器无线会议系统的设计温度检测控制仪器简易远程心电监护系统基于LabVIEW的测试结果语音表达系统程控交换机房环境监测系统设计单片机控制的微型频率计设计基于DSP的短波通信系统设计(射频单元)等精度数字频率计不对称半桥直直变换器仿真研究基于MATLAB的直流电动机双闭环调速系统无线传输应变型扭矩仪模糊控制在锅炉焊接过程中的应用三层结构的工作流OA的应用与实现基于的永磁直线电机的有限元分析及计算音频信号的数字水印技术低压CMOS零延迟1:11时钟发生器基于ADF4116/4117/4118的芯片简介及应用电路设计ADF4193芯片简介及应用电路设计LMX2310U/LMX2311U/LMX2312U/LMX2313U芯片简介及应用电路设计MAX2754芯片简介及应用电路设计MPC92432芯片简介及应用电路设计高增益矢量乘法器基于400MSPS 14-Bit,直接数字合成器AD9951基于900MHz低压LVPECL时钟合成器的电路设计基于 MAX2450芯片简介及应用电路设计基于AD831低失真有源混频器的电路设计基于AD7008的芯片简介及应用电路设计基于AD8341 芯片简介及应用电路设计基于AD8348的50M-1000M正交解调器基于AD8349的简介及应用电路设计基于AD9511的简介及电路应用基于AD9540的芯片简介及电路设计基于AD9952的芯片简介和应用电路设计基于ADF436的集成数字频率合成器与压控振荡器基于ADF4007简介及电路设计基于ADF4110/ADF4111/ADF4112/ADF4113上的应用电路设计基于ADF4154的芯片简介及应用电路设计基于ADF4360-0的芯片简介及应用电路设计基于ADF4360-3电路芯片简介及应用电路设计基于ADF4360-6的简介及应用电路设计基于ADF4360-7的集成整形N合成器的压控振荡器基于ADL5350的简介及应用电路设计基于CMOS 200 MHZ数字正交上变频器设计基于CMOS 的AD9831芯片数字频率合成器的电路设计基于CX3627ERDE的芯片简介及应用电路设计基于CXA3275Q的芯片简介及应用电路设计基于CXA3556N的芯片简介及应用电路设计基于IMA-93516的芯片简介及应用电路设计VPN技术研究UCOSII在FPGA上的移植IPTV影音信号传输网络设计GSM移动通信网络优化的研究与实现 FSK调制系统DSP处理GPS接收数据的应用研究Boot Loader在嵌入式系统中的应用ADS宽带组网与测试基于FPGA的IIR滤波器设计MP3宽带音频解码关键技术的研究与实现基本门电路和数值比较器的设计编码器和译码器的设计智力竞赛抢答器移位寄存器的设计与实现四选一数据选择器和基本触发器的设计四位二进制加法器和乘法器数字钟的设计与制作数字秒表的设计数控分频器及其应用汽车尾灯控制器的设计交通灯控制器的设计简易电子琴的设计简单微处理器的设计DSP最小系统的设计与开发基于消息队列机制(MSMQ)的网络监控系统基于DSP的电机控制的研究基于数学形态学的织物经纬密度的研究纱条均匀度测试的研究 图像锐化算法的研究及其DSP实现 手写体数字识别有限冲击响应滤波器的设计及其DSP实现 同步电机模型的MATLAB仿真USB通信研究及其在虚拟仪器中的应用设计WLAN的OFDM信道估计算法研究采用S12交换机支持NGN下MEGACO呼叫流程的设计基于语音信号预测编码的数据压缩算法的研究与实现基于小波变换数字图像水印盲算法基于小波变换和神经网络的短期负荷预测研究嵌入式系统建模仿真环境PtolemyII的研究与应用分布式计算环境的设计与实现复合加密系统中DES算法的实现大学自动排课算法设计与实现基于AES的加密机制的实现基于AES算法的HASH函数的设计与应用基于DM642的视频编码器优化和实现基于Huffman编码的数据压缩算法的研究与实现基于internet的嵌入式远程测控终端研制基于Matlab的FMCW(调频连续波)的中频正交处理和脉冲压缩处理 基于MATLAB的对称振子阻抗特性和图形仿真基于windows的串口通信软件设计基于粗糙集和规则树的增量式知识获取算法自适应蚁群算法在DNA序列比对中的应用远程监护系统的数据记录与传输技术研究基于分布式体系结构的工序调度系统的设计基于活动图像编码的数据压缩算法的设计与实现基于宽带声音子带编码的数据压缩算法的设计与实现基于网络数据处理XML技术的设计基于小波变换的数据压缩算法的研究与实现基于小波变换的配电网单相接地故障定位研究及应用英特网上传输文件的签名与验证程序

基于gui电子琴设计毕业论文

用AT89C51单片机做比较简单配上按键键盘,三极管驱动一个喇叭就能实现,下面是我调试过的单片机程序供参考;DIANnbsp;ZInbsp;QINnbsp;电子琴nbsp;SPKnbsp;EQUnbsp;;FKEYnbsp;EQUnbsp;;DInbsp;EQUnbsp;71Hnbsp;GAOnbsp;EQUnbsp;72Hnbsp;KEY_NOnbsp;EQUnbsp;73Hnbsp;NOnbsp;EQUnbsp;74Hnbsp;TCnbsp;EQUnbsp;75Hnbsp;OUTBITnbsp;EQUnbsp;08002Hnbsp;OUTSEGnbsp;EQUnbsp;08004Hnbsp;INnbsp;EQUnbsp;08001Hnbsp;ORGnbsp;0000Hnbsp;JMPnbsp;BEGINnbsp;ORGnbsp;0BHnbsp;JMPnbsp;TO_INTnbsp;BEGIN:nbsp;MOVnbsp;TMOD,#00000000Bnbsp;MOVnbsp;IEnbsp;,#10000010Bnbsp;MOVnbsp;R4,#1nbsp;MOVnbsp;R3,#1nbsp;CALLnbsp;PLAYnbsp;MOVnbsp;R4,#2nbsp;MOVnbsp;R3,#1nbsp;CALLnbsp;PLAYnbsp;JMPnbsp;RUNnbsp;JMPnbsp;$nbsp;PLAY:nbsp;CALLnbsp;LOAD_VALUEnbsp;CALLnbsp;SOUND_ONnbsp;PL1:nbsp;MOVnbsp;R5,#30nbsp;CALLnbsp;DELAYnbsp;DJNZnbsp;R3,PL1nbsp;CALLnbsp;SOUND_OFFnbsp;MOVnbsp;R5,#3nbsp;CALLnbsp;DELAYnbsp;RETnbsp;PLAY_SONG1:nbsp;MOVnbsp;TC,#0nbsp;PA0:nbsp;MOVnbsp;DPTR,#SONG1nbsp;MOVnbsp;A,TCnbsp;MOVCnbsp;A,@A+DPTRnbsp;MOVnbsp;R4,Anbsp;CJNEnbsp;A,#0FFH,PA1nbsp;RETnbsp;PA1:nbsp;MOVnbsp;DPTR,#LENnbsp;MOVnbsp;A,TCnbsp;MOVCnbsp;A,@A+DPTRnbsp;MOVnbsp;R3,Anbsp;CALLnbsp;PLAYnbsp;INCnbsp;TCnbsp;JMPnbsp;PA0nbsp;RETnbsp;PLAY_SONG2:nbsp;MOVnbsp;TC,#0nbsp;PB0:nbsp;MOVnbsp;DPTR,#SONG2nbsp;MOVnbsp;A,TCnbsp;MOVCnbsp;A,@A+DPTRnbsp;MOVnbsp;R4,Anbsp;CJNEnbsp;A,#0FFH,PB1nbsp;RETnbsp;PB1:nbsp;MOVnbsp;DPTR,#LENnbsp;MOVnbsp;A,TCnbsp;MOVCnbsp;A,@A+DPTRnbsp;MOVnbsp;R3,Anbsp;CALLnbsp;PLAYnbsp;INCnbsp;TCnbsp;JMPnbsp;PB0nbsp;RETnbsp;SOUND_ON:nbsp;SETBnbsp;TR0nbsp;RETnbsp;SOUND_OFF:nbsp;CLRnbsp;TR0nbsp;RETnbsp;LOAD_VALUE:nbsp;MOVnbsp;DPTR,#HI_LISTnbsp;MOVnbsp;A,R4nbsp;MOVCnbsp;A,@A+DPTRnbsp;MOVnbsp;GAO,Anbsp;MOVnbsp;DPTR,#LO_LISTnbsp;MOVnbsp;A,R4nbsp;MOVCnbsp;A,@A+DPTRnbsp;MOVnbsp;DI,Anbsp;RETnbsp;TO_INT:nbsp;MOVnbsp;TL0,DInbsp;MOVnbsp;TH0,GAOnbsp;CPLnbsp;SPKnbsp;RETInbsp;HI_LIST:nbsp;DBnbsp;0,226,229,232,233,236,238,240nbsp;LO_LIST:nbsp;DBnbsp;0,4,13,10,20,3,8,6nbsp;SONG1:nbsp;DBnbsp;1,2,3,4,5,6,7,0FFHnbsp;SONG2:nbsp;DBnbsp;7,6,5,4,3,2,1,0FFHnbsp;LEN:nbsp;DBnbsp;2,2,2,2,2,2,2,0FFHnbsp;DELAY:nbsp;MOVnbsp;R6,#50nbsp;D1:MOVnbsp;R7,#50nbsp;DJNZnbsp;R7,$nbsp;DJNZnbsp;R6,D1nbsp;DJNZnbsp;R5,DELAYnbsp;RETnbsp;TABLE_DIG:nbsp;DBnbsp;16H,15H,14H,0FFHnbsp;DBnbsp;13H,12H,11H,10Hnbsp;DBnbsp;0DH,0CH,09H,0AHnbsp;DBnbsp;0EH,03H,06H,0FHnbsp;DBnbsp;08H,02H,05H,0BHnbsp;DBnbsp;00H,01H,04H,07Hnbsp;SCAN:nbsp;MOVnbsp;DPTRnbsp;,#OUTBITnbsp;MOVnbsp;A,#0nbsp;MOVXnbsp;@DPTR,Anbsp;MOVnbsp;DPTR,#INnbsp;MOVXnbsp;A,@DPTRnbsp;CPLnbsp;Anbsp;ANLnbsp;A,#0FHnbsp;RETnbsp;GET_KEY:nbsp;MOVnbsp;DPTR,#OUTBITnbsp;MOVnbsp;P2,DPHnbsp;MOVnbsp;R0,#LOW(IN)nbsp;MOVnbsp;R1,#00100000Bnbsp;MOVnbsp;R2,#6nbsp;KLOOP:nbsp;MOVnbsp;A,R1nbsp;CPLnbsp;Anbsp;MOVXnbsp;@DPTR,Anbsp;CPLnbsp;Anbsp;RRnbsp;Anbsp;MOVnbsp;R1,A

22. 电子琴 1. 实验任务 (1. 由4X4组成16个按钮矩阵,设计成16个音。 (2. 可随意弹奏想要表达的音乐。 2. 电路原理图 图 3. 系统板硬件连线 (1. 把“单片机系统”区域中的端口用导线连接到“音频放大模块”区域中的SPK IN端口上; (2. 把“单片机系统“区域中的-端口用8芯排线连接到“4X4行列式键盘”区域中的C1-C4 R1-R4端口上; 4. 相关程序内容 (1. 4X4行列式键盘识别; (2. 音乐产生的方法; 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们所想要的音乐了,当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系弄正确即可。现在以单片机12MHZ晶振为例,例出高中低音符与单片机计数T0相关的计数值如下表所示 音符 频率(HZ) 简谱码(T值) 音符 频率(HZ) 简谱码(T值)低1 DO 262 63628 # 4 FA# 740 64860#1 DO# 277 63731 中 5 SO 784 64898低2 RE 294 63835 # 5 SO# 831 64934#2 RE# 311 63928 中 6 LA 880 64968低 3 M 330 64021 # 6 932 64994低 4 FA 349 64103 中 7 SI 988 65030# 4 FA# 370 64185 高 1 DO 1046 65058低 5 SO 392 64260 # 1 DO# 1109 65085# 5 SO# 415 64331 高 2 RE 1175 65110低 6 LA 440 64400 # 2 RE# 1245 65134# 6 466 64463 高 3 M 1318 65157低 7 SI 494 64524 高 4 FA 1397 65178中 1 DO 523 64580 # 4 FA# 1480 65198# 1 DO# 554 64633 高 5 SO 1568 65217中 2 RE 587 64684 # 5 SO# 1661 65235# 2 RE# 622 64732 高 6 LA 1760 65252中 3 M 659 64777 # 6 1865 65268中 4 FA 698 64820 高 7 SI 1967 65283下面我们要为这个音符建立一个表格,有助于单片机通过查表的方式来获得相应的数据 低音0-19之间,中音在20-39之间,高音在40-59之间 TABLE: DW 0,63628,63835,64021,64103,64260,64400,64524,0,0 DW 0,63731,63928,0,64185,64331,64463,0,0,0 DW 0,64580,64684,64777,64820,64898,64968,65030,0,0 DW 0,64633,64732,0,64860,64934,64994,0,0,0 DW 0,65058,65110,65157,65178,65217,65252,65283,0,0 DW 0,65085,65134,0,65198,65235,65268,0,0,0 DW 0 2、音乐的音拍,一个节拍为单位(C调) 曲调值 DELAY 曲调值 DELAY调4/4 125ms 调4/4 62ms调3/4 187ms 调3/4 94ms调2/4 250ms 调2/4 125ms对于不同的曲调我们也可以用单片机的另外一个定时/计数器来完成。 下面就用AT89S51单片机产生一首“生日快乐”歌曲来说明单片机如何产生的。 在这个程序中用到了两个定时/计数器来完成的。其中T0用来产生音符频率,T1用来产生音拍。 5. 程序框图 图 6. 汇编源程序KEYBUF EQU 30HSTH0 EQU 31HSTL0 EQU 32HTEMP EQU 33HORG 00HLJMP STARTORG 0BHLJMP INT_T0 ;T0中断入口START: MOV TMOD,#01H ;T0工作方式1SETB ET0 SETB EAWAIT:MOV P3,#0FFH ;输入口置1准备工作CLR A,P3 ;读键盘ANL A,#0FH ;保持低四位XRL A,#0FH ;JZ NOKEY1LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY1MOV A,P3ANL A,#0FHCJNE A,#0EH,NK1MOV KEYBUF,#0LJMP DK1NK1: CJNE A,#0DH,NK2MOV KEYBUF,#1LJMP DK1NK2: CJNE A,#0BH,NK3MOV KEYBUF,#2LJMP DK1NK3: CJNE A,#07H,NK4MOV KEYBUF,#3LJMP DK1NK4: NOPDK1:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,KEYBUFMOV B,#2MUL ABMOV TEMP,AMOV DPTR,#TABLE1MOVC A,@A+DPTRMOV STH0,AMOV TH0,AINC TEMPMOV A,TEMPMOVC A,@A+DPTRMOV STL0,AMOV TL0,ASETB TR0DK1A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK1ACLR TR0NOKEY1:MOV P3,#0FFHCLR A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY2LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY2MOV A,P3ANL A,#0FHCJNE A,#0EH,NK5MOV KEYBUF,#4LJMP DK2NK5: CJNE A,#0DH,NK6MOV KEYBUF,#5LJMP DK2NK6: CJNE A,#0BH,NK7MOV KEYBUF,#6LJMP DK2NK7: CJNE A,#07H,NK8MOV KEYBUF,#7LJMP DK2NK8: NOPDK2:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,KEYBUFMOV B,#2MUL ABMOV TEMP,AMOV DPTR,#TABLE1MOVC A,@A+DPTRMOV STH0,AMOV TH0,AINC TEMPMOV A,TEMPMOVC A,@A+DPTRMOV STL0,AMOV TL0,ASETB TR0DK2A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK2ACLR TR0NOKEY2:MOV P3,#0FFHCLR A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY3LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY3MOV A,P3ANL A,#0FHCJNE A,#0EH,NK9MOV KEYBUF,#8LJMP DK3NK9: CJNE A,#0DH,NK10MOV KEYBUF,#9LJMP DK3NK10: CJNE A,#0BH,NK11MOV KEYBUF,#10LJMP DK3NK11: CJNE A,#07H,NK12MOV KEYBUF,#11LJMP DK3NK12: NOPDK3:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,KEYBUFMOV B,#2MUL ABMOV TEMP,AMOV DPTR,#TABLE1MOVC A,@A+DPTRMOV STH0,AMOV TH0,AINC TEMPMOV A,TEMPMOVC A,@A+DPTRMOV STL0,AMOV TL0,ASETB TR0DK3A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK3ACLR TR0NOKEY3:MOV P3,#0FFHCLR A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY4LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY4MOV A,P3ANL A,#0FHCJNE A,#0EH,NK13MOV KEYBUF,#12LJMP DK4NK13: CJNE A,#0DH,NK14MOV KEYBUF,#13LJMP DK4NK14: CJNE A,#0BH,NK15MOV KEYBUF,#14LJMP DK4NK15: CJNE A,#07H,NK16MOV KEYBUF,#15LJMP DK4NK16: NOPDK4:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,KEYBUFMOV B,#2MUL ABMOV TEMP,AMOV DPTR,#TABLE1MOVC A,@A+DPTRMOV STH0,AMOV TH0,AINC TEMPMOV A,TEMPMOVC A,@A+DPTRMOV STL0,AMOV TL0,ASETB TR0DK4A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK4ACLR TR0NOKEY4:LJMP WAITDELY10MS:MOV R6,#10D1: MOV R7,#248DJNZ R7,$DJNZ R6,D1RETINT_T0:MOV TH0,STH0MOV TL0,STL0CPL : DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07HDB 7FH,6FH,77H,7CH,39H,5EH,79H,71HTABLE1: DW 64021,64103,64260,64400DW 64524,64580,64684,64777DW 64820,64898,64968,65030DW 65058,65110,65157,65178END7. C语言源程序#include <>unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};unsigned char temp;unsigned char key;unsigned char i,j;unsigned char STH0;unsigned char STL0;unsigned int code tab[]={64021,64103,64260,64400,64524,64580,64684,64777,64820,64898,64968,65030,65058,65110,65157,65178};void main(void){TMOD=0x01;ET0=1;EA=1;while(1){P3=0xff;P3_4=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i>0;i--)for(j=200;j>0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=0;break;case 0x0d:key=1;break;case 0x0b:key=2;break;case 0x07:key=3;break;}temp=P3;P1_0=~P1_0;P0=table[key];STH0=tab[key]/256;STL0=tab[key]%256;TR0=1;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}TR0=0;}}P3=0xff;P3_5=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i>0;i--)for(j=200;j>0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=4;break;case 0x0d:key=5;break;case 0x0b:key=6;break;case 0x07:key=7;break;}temp=P3;P1_0=~P1_0;P0=table[key];STH0=tab[key]/256;STL0=tab[key]%256;TR0=1;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}TR0=0;}}P3=0xff;P3_6=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i>0;i--)for(j=200;j>0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=8;break;case 0x0d:key=9;break;case 0x0b:key=10;break;case 0x07:key=11;break;}temp=P3;P1_0=~P1_0;P0=table[key];STH0=tab[key]/256;STL0=tab[key]%256;TR0=1;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}TR0=0;}}P3=0xff;P3_7=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i>0;i--)for(j=200;j>0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=12;break;case 0x0d:key=13;break;case 0x0b:key=14;break;case 0x07:key=15;break;}temp=P3;P1_0=~P1_0;P0=table[key];STH0=tab[key]/256;STL0=tab[key]%256;TR0=1;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}TR0=0;}}}}void t0(void) interrupt 1 using 0{TH0=STH0;TL0=STL0;P1_0=~P1_0;}

简单的说就是按键触发信号发给处理器,再由处理器调用音色库音色通过功放电路输出或者通过数码接口进行数字输出。控制面板上的按钮来选择处理器对音色、音量、输出方式、伴奏的控制。 玩具和简单的老式电子琴就简单得多,按键做为开关接通内部发声单元,进行固定频率的发声。或者由初级的单片机处理发声频率。 电子琴的工作原理一般有FM(调频)模式和PCM(采样)模式。 现在常用的电子琴的常见品牌有YANMAHA 和CASIO,海南的乐手比较喜欢使用YAMAHA的产品,因为它的设置比较合理,现场使用非常 方便;音色比较真实,使用效果更佳。 单独用一具电子琴,就可以现场作出类似一支完整乐队的效果。所以现在一些演出场合中,比较流行一具电子琴(有些会再加上一具合成器)的单人编制(简称单编),或一具电子琴和一把吉他的双人编制(简称双编)。搞单编需要乐手具备较高的电子琴操作和演奏水平。本版中的“啊方十指琴魔”就是这样的单编高手之一。搞单编或双编对电子琴的要求也较高,在YAMAHA的电子琴系列中,一般要PSR 410以上级别的产品才能达到乐手们常说的“能用”的水平。 电振荡乐 器 以电振荡作为激励声源的乐器,称为电振荡乐器 。 目前人们能见到的各种电子琴、电子合成器、电子鼓机等都属于电振荡 乐器。 说明:当演奏者按下键盘中的某一琴键时,琴键下面的电路就将信号传 送给振荡器 1 和振荡器2,产生相应的音高振荡频率;手指触键的力度信号 也会同时传给包络发生器 1 和包络发生器2,并通知滤波器和放大器产生相 应的音量及音色的变化;最后,声音信号通过放大器输出。图中低频振荡器 的作用,是能够根据演奏者的需要,对所有其它部分——振荡器、滤波器和 放大器——进行调制,从而使合成器能够发出颤音的效果。 目前,国外生产的电子合成器以及较高档的电子琴都有MIDI 接口,相互 间可串接使用。目前较流行的使用方法是用一台计算机通过MIDI 接口来控制 所有相关的电子乐器和音响效果发生器,从而能够方便地产生丰富多彩的音 乐音响效果。

如果专业方向是软件设计,可以写;否则一般都是用一章大概介绍一下,详细代码都会写在附录里。

单片电子琴毕业论文

22. 电子琴 1. 实验任务 (1. 由4X4组成16个按钮矩阵,设计成16个音。 (2. 可随意弹奏想要表达的音乐。 2. 电路原理图 图 3. 系统板硬件连线 (1. 把“单片机系统”区域中的端口用导线连接到“音频放大模块”区域中的SPK IN端口上; (2. 把“单片机系统“区域中的-端口用8芯排线连接到“4X4行列式键盘”区域中的C1-C4 R1-R4端口上; 4. 相关程序内容 (1. 4X4行列式键盘识别; (2. 音乐产生的方法; 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们所想要的音乐了,当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系弄正确即可。现在以单片机12MHZ晶振为例,例出高中低音符与单片机计数T0相关的计数值如下表所示 音符 频率(HZ) 简谱码(T值) 音符 频率(HZ) 简谱码(T值)低1 DO 262 63628 # 4 FA# 740 64860#1 DO# 277 63731 中 5 SO 784 64898低2 RE 294 63835 # 5 SO# 831 64934#2 RE# 311 63928 中 6 LA 880 64968低 3 M 330 64021 # 6 932 64994低 4 FA 349 64103 中 7 SI 988 65030# 4 FA# 370 64185 高 1 DO 1046 65058低 5 SO 392 64260 # 1 DO# 1109 65085# 5 SO# 415 64331 高 2 RE 1175 65110低 6 LA 440 64400 # 2 RE# 1245 65134# 6 466 64463 高 3 M 1318 65157低 7 SI 494 64524 高 4 FA 1397 65178中 1 DO 523 64580 # 4 FA# 1480 65198# 1 DO# 554 64633 高 5 SO 1568 65217中 2 RE 587 64684 # 5 SO# 1661 65235# 2 RE# 622 64732 高 6 LA 1760 65252中 3 M 659 64777 # 6 1865 65268中 4 FA 698 64820 高 7 SI 1967 65283下面我们要为这个音符建立一个表格,有助于单片机通过查表的方式来获得相应的数据 低音0-19之间,中音在20-39之间,高音在40-59之间 TABLE: DW 0,63628,63835,64021,64103,64260,64400,64524,0,0 DW 0,63731,63928,0,64185,64331,64463,0,0,0 DW 0,64580,64684,64777,64820,64898,64968,65030,0,0 DW 0,64633,64732,0,64860,64934,64994,0,0,0 DW 0,65058,65110,65157,65178,65217,65252,65283,0,0 DW 0,65085,65134,0,65198,65235,65268,0,0,0 DW 0 2、音乐的音拍,一个节拍为单位(C调) 曲调值 DELAY 曲调值 DELAY调4/4 125ms 调4/4 62ms调3/4 187ms 调3/4 94ms调2/4 250ms 调2/4 125ms对于不同的曲调我们也可以用单片机的另外一个定时/计数器来完成。 下面就用AT89S51单片机产生一首“生日快乐”歌曲来说明单片机如何产生的。 在这个程序中用到了两个定时/计数器来完成的。其中T0用来产生音符频率,T1用来产生音拍。 5. 程序框图 图 6. 汇编源程序KEYBUF EQU 30HSTH0 EQU 31HSTL0 EQU 32HTEMP EQU 33HORG 00HLJMP STARTORG 0BHLJMP INT_T0 ;T0中断入口START: MOV TMOD,#01H ;T0工作方式1SETB ET0 SETB EAWAIT:MOV P3,#0FFH ;输入口置1准备工作CLR A,P3 ;读键盘ANL A,#0FH ;保持低四位XRL A,#0FH ;JZ NOKEY1LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY1MOV A,P3ANL A,#0FHCJNE A,#0EH,NK1MOV KEYBUF,#0LJMP DK1NK1: CJNE A,#0DH,NK2MOV KEYBUF,#1LJMP DK1NK2: CJNE A,#0BH,NK3MOV KEYBUF,#2LJMP DK1NK3: CJNE A,#07H,NK4MOV KEYBUF,#3LJMP DK1NK4: NOPDK1:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,KEYBUFMOV B,#2MUL ABMOV TEMP,AMOV DPTR,#TABLE1MOVC A,@A+DPTRMOV STH0,AMOV TH0,AINC TEMPMOV A,TEMPMOVC A,@A+DPTRMOV STL0,AMOV TL0,ASETB TR0DK1A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK1ACLR TR0NOKEY1:MOV P3,#0FFHCLR A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY2LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY2MOV A,P3ANL A,#0FHCJNE A,#0EH,NK5MOV KEYBUF,#4LJMP DK2NK5: CJNE A,#0DH,NK6MOV KEYBUF,#5LJMP DK2NK6: CJNE A,#0BH,NK7MOV KEYBUF,#6LJMP DK2NK7: CJNE A,#07H,NK8MOV KEYBUF,#7LJMP DK2NK8: NOPDK2:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,KEYBUFMOV B,#2MUL ABMOV TEMP,AMOV DPTR,#TABLE1MOVC A,@A+DPTRMOV STH0,AMOV TH0,AINC TEMPMOV A,TEMPMOVC A,@A+DPTRMOV STL0,AMOV TL0,ASETB TR0DK2A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK2ACLR TR0NOKEY2:MOV P3,#0FFHCLR A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY3LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY3MOV A,P3ANL A,#0FHCJNE A,#0EH,NK9MOV KEYBUF,#8LJMP DK3NK9: CJNE A,#0DH,NK10MOV KEYBUF,#9LJMP DK3NK10: CJNE A,#0BH,NK11MOV KEYBUF,#10LJMP DK3NK11: CJNE A,#07H,NK12MOV KEYBUF,#11LJMP DK3NK12: NOPDK3:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,KEYBUFMOV B,#2MUL ABMOV TEMP,AMOV DPTR,#TABLE1MOVC A,@A+DPTRMOV STH0,AMOV TH0,AINC TEMPMOV A,TEMPMOVC A,@A+DPTRMOV STL0,AMOV TL0,ASETB TR0DK3A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK3ACLR TR0NOKEY3:MOV P3,#0FFHCLR A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY4LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY4MOV A,P3ANL A,#0FHCJNE A,#0EH,NK13MOV KEYBUF,#12LJMP DK4NK13: CJNE A,#0DH,NK14MOV KEYBUF,#13LJMP DK4NK14: CJNE A,#0BH,NK15MOV KEYBUF,#14LJMP DK4NK15: CJNE A,#07H,NK16MOV KEYBUF,#15LJMP DK4NK16: NOPDK4:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,KEYBUFMOV B,#2MUL ABMOV TEMP,AMOV DPTR,#TABLE1MOVC A,@A+DPTRMOV STH0,AMOV TH0,AINC TEMPMOV A,TEMPMOVC A,@A+DPTRMOV STL0,AMOV TL0,ASETB TR0DK4A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK4ACLR TR0NOKEY4:LJMP WAITDELY10MS:MOV R6,#10D1: MOV R7,#248DJNZ R7,$DJNZ R6,D1RETINT_T0:MOV TH0,STH0MOV TL0,STL0CPL : DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07HDB 7FH,6FH,77H,7CH,39H,5EH,79H,71HTABLE1: DW 64021,64103,64260,64400DW 64524,64580,64684,64777DW 64820,64898,64968,65030DW 65058,65110,65157,65178END7. C语言源程序#include <>unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};unsigned char temp;unsigned char key;unsigned char i,j;unsigned char STH0;unsigned char STL0;unsigned int code tab[]={64021,64103,64260,64400,64524,64580,64684,64777,64820,64898,64968,65030,65058,65110,65157,65178};void main(void){TMOD=0x01;ET0=1;EA=1;while(1){P3=0xff;P3_4=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i>0;i--)for(j=200;j>0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=0;break;case 0x0d:key=1;break;case 0x0b:key=2;break;case 0x07:key=3;break;}temp=P3;P1_0=~P1_0;P0=table[key];STH0=tab[key]/256;STL0=tab[key]%256;TR0=1;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}TR0=0;}}P3=0xff;P3_5=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i>0;i--)for(j=200;j>0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=4;break;case 0x0d:key=5;break;case 0x0b:key=6;break;case 0x07:key=7;break;}temp=P3;P1_0=~P1_0;P0=table[key];STH0=tab[key]/256;STL0=tab[key]%256;TR0=1;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}TR0=0;}}P3=0xff;P3_6=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i>0;i--)for(j=200;j>0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=8;break;case 0x0d:key=9;break;case 0x0b:key=10;break;case 0x07:key=11;break;}temp=P3;P1_0=~P1_0;P0=table[key];STH0=tab[key]/256;STL0=tab[key]%256;TR0=1;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}TR0=0;}}P3=0xff;P3_7=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i>0;i--)for(j=200;j>0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=12;break;case 0x0d:key=13;break;case 0x0b:key=14;break;case 0x07:key=15;break;}temp=P3;P1_0=~P1_0;P0=table[key];STH0=tab[key]/256;STL0=tab[key]%256;TR0=1;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}TR0=0;}}}}void t0(void) interrupt 1 using 0{TH0=STH0;TL0=STL0;P1_0=~P1_0;}

用AT89C51单片机做比较简单配上按键键盘,三极管驱动一个喇叭就能实现,下面是我调试过的单片机程序供参考;DIAN ZI QIN 电子琴 SPK EQU FKEY EQU DI EQU 71H GAO EQU 72H KEY_NO EQU 73H NO EQU 74H TC EQU 75H OUTBIT EQU 08002H OUTSEG EQU 08004H IN EQU 08001H ORG 0000H JMP BEGIN ORG 0BH JMP TO_INT BEGIN: MOV TMOD,#00000000B MOV IE ,#10000010B MOV R4,#1 MOV R3,#1 CALL PLAY MOV R4,#2 MOV R3,#1 CALL PLAY JMP RUN JMP $ PLAY: CALL LOAD_VALUE CALL SOUND_ON PL1: MOV R5,#30 CALL DELAY DJNZ R3,PL1 CALL SOUND_OFF MOV R5,#3 CALL DELAY RET PLAY_SONG1: MOV TC,#0 PA0: MOV DPTR,#SONG1 MOV A,TC MOVC A,@A+DPTR MOV R4,A CJNE A,#0FFH,PA1 RET PA1: MOV DPTR,#LEN MOV A,TC MOVC A,@A+DPTR MOV R3,A CALL PLAY INC TC JMP PA0 RET PLAY_SONG2: MOV TC,#0 PB0: MOV DPTR,#SONG2 MOV A,TC MOVC A,@A+DPTR MOV R4,A CJNE A,#0FFH,PB1 RET PB1: MOV DPTR,#LEN MOV A,TC MOVC A,@A+DPTR MOV R3,A CALL PLAY INC TC JMP PB0 RET SOUND_ON: SETB TR0 RET SOUND_OFF: CLR TR0 RET LOAD_VALUE: MOV DPTR,#HI_LIST MOV A,R4 MOVC A,@A+DPTR MOV GAO,A MOV DPTR,#LO_LIST MOV A,R4 MOVC A,@A+DPTR MOV DI,A RET TO_INT: MOV TL0,DI MOV TH0,GAO CPL SPK RETI HI_LIST: DB 0,226,229,232,233,236,238,240 LO_LIST: DB 0,4,13,10,20,3,8,6 SONG1: DB 1,2,3,4,5,6,7,0FFH SONG2: DB 7,6,5,4,3,2,1,0FFH LEN: DB 2,2,2,2,2,2,2,0FFH DELAY: MOV R6,#50 D1:MOV R7,#50 DJNZ R7,$ DJNZ R6,D1 DJNZ R5,DELAY RET TABLE_DIG: DB 16H,15H,14H,0FFH DB 13H,12H,11H,10H DB 0DH,0CH,09H,0AH DB 0EH,03H,06H,0FH DB 08H,02H,05H,0BH DB 00H,01H,04H,07H SCAN: MOV DPTR ,#OUTBIT MOV A,#0 MOVX @DPTR,A MOV DPTR,#IN MOVX A,@DPTR CPL A ANL A,#0FH RET GET_KEY: MOV DPTR,#OUTBIT MOV P2,DPH MOV R0,#LOW(IN) MOV R1,#00100000B MOV R2,#6 KLOOP: MOV A,R1 CPL A MOVX @DPTR,A CPL A RR A MOV R1,A MOVX A,@R0 CPL A ANL A,#0FH JNZ GOON1 DJNZ R2,KLOOP MOV R2,#0FFH SJMP EXIT GOON1: MOV R1,A MOV A,R2 DEC A RL A RL A MOV R2,A MOV A,R1 MOV R1,#4 LOOPC: RRC A JC EXIT INC R2 DJNZ R1,LOOPC EXIT: MOV A,R2 MOV DPTR,#TABLE_DIG MOVC A,@A+DPTR MOV R2,A MOV KEY_NO,A RET SO: MOV R3,#1 CALL PLAY RET SOP: CJNE A,#1,A1 MOV R4,#1 CALL SO RET A1: CJNE A,#2,A2 MOV R4,#2 CALL SO RET A2: CJNE A,#3,A3 MOV R4,#3 CALL SO RET A3: CJNE A,#4,A4 MOV R4,#4 CALL SO RET A4: CJNE A,#5,A5 MOV R4,#5 CALL SO RET A5: CJNE A,#6,A6 MOV R4,#6 CALL SO RET A6: CJNE A,#7,A7 MOV R4,#7 CALL SO RET A7: CJNE A,#0FH,A8 CALL PLAY_SONG1 RET A8: CJNE A,#0BH,A9 CALL PLAY_SONG2 RET A9: RET RUN: LOOP: CALL SCAN JZ LOOP CALL GET_KEY MOV A,KEY_NO CALL SOP LJMP LOOP END

下面的都是毕业论文范文,有用的话,请给我红旗LMX2350/LMX2352芯片简介及电路设计基于LMX2306/16/26 芯片简介及应用电路设计 基于LT5500f 的 GHzLNA/混频器电路设计基于LT5517 40MHZ到90NHZ 积分解调器的设计基于LT5527的400MHz至高信号电平下变频混频器电路设计基于LT5572的芯片简介及应用电路设计基于LT5516的芯片简介及应用电路设计 基于MAX2039的芯片简介及应用电路设计 基于MAX2102/MAX2105芯片简介及应用电路设计基于MAX2106 芯片简介及应用电路设计 基于MAX2323/MAX2325 的芯片简介及应用电路设计 基于MAX2338芯片简介及应用电路设计 基于MAX2511的芯片简介及应用电路设计 基于MAX2685的芯片简介及应用电路设计 基于MAX2753的芯片简介及应用电路设计基于MAX9981芯片简介及应用电路设计基于MAX9994的芯片简介及应用电路设计 基于MAX9995的芯片简介及应用电路设计基于MC12430的芯片简介及应用电路设计基于MC88920芯片简介及应用电路设计基于MPC97H73的简介及电路设计基于MPC9229 芯片简介及应用电路设计 基于mpc9239芯片简介及应用电路设计 基于MPC9992 芯片简介及应用电路设计基于mpc92433芯片的简介及应用电路设计基于TQ5121的无线数据接收器电路设计基于TQ5135的芯片简介及应用电路设计基于TQ5631 3V PCS波段CDMA射频放大混频器电路设计语音信号处理技术及应用网络文档发放与认证管理系统网络配置管理对象分析与应用三维激光扫描仪中图像处理快速算法设计基于分形的自然景物图形的生成图像压缩编码基于奇异值分解的数字图像水印算法研究数字图象融合技术汽车牌照定位与分割技术的研究焦炉立火道温度软测量模型设计加热炉的非线性PID控制算法研究直接转矩控制交流调速系统的转矩数字调节器无线会议系统的设计温度检测控制仪器简易远程心电监护系统基于LabVIEW的测试结果语音表达系统程控交换机房环境监测系统设计单片机控制的微型频率计设计基于DSP的短波通信系统设计(射频单元)等精度数字频率计不对称半桥直直变换器仿真研究基于MATLAB的直流电动机双闭环调速系统无线传输应变型扭矩仪模糊控制在锅炉焊接过程中的应用三层结构的工作流OA的应用与实现基于的永磁直线电机的有限元分析及计算音频信号的数字水印技术低压CMOS零延迟1:11时钟发生器基于ADF4116/4117/4118的芯片简介及应用电路设计ADF4193芯片简介及应用电路设计LMX2310U/LMX2311U/LMX2312U/LMX2313U芯片简介及应用电路设计MAX2754芯片简介及应用电路设计MPC92432芯片简介及应用电路设计高增益矢量乘法器基于400MSPS 14-Bit,直接数字合成器AD9951基于900MHz低压LVPECL时钟合成器的电路设计基于 MAX2450芯片简介及应用电路设计基于AD831低失真有源混频器的电路设计基于AD7008的芯片简介及应用电路设计基于AD8341 芯片简介及应用电路设计基于AD8348的50M-1000M正交解调器基于AD8349的简介及应用电路设计基于AD9511的简介及电路应用基于AD9540的芯片简介及电路设计基于AD9952的芯片简介和应用电路设计基于ADF436的集成数字频率合成器与压控振荡器基于ADF4007简介及电路设计基于ADF4110/ADF4111/ADF4112/ADF4113上的应用电路设计基于ADF4154的芯片简介及应用电路设计基于ADF4360-0的芯片简介及应用电路设计基于ADF4360-3电路芯片简介及应用电路设计基于ADF4360-6的简介及应用电路设计基于ADF4360-7的集成整形N合成器的压控振荡器基于ADL5350的简介及应用电路设计基于CMOS 200 MHZ数字正交上变频器设计基于CMOS 的AD9831芯片数字频率合成器的电路设计基于CX3627ERDE的芯片简介及应用电路设计基于CXA3275Q的芯片简介及应用电路设计基于CXA3556N的芯片简介及应用电路设计基于IMA-93516的芯片简介及应用电路设计VPN技术研究UCOSII在FPGA上的移植IPTV影音信号传输网络设计GSM移动通信网络优化的研究与实现 FSK调制系统DSP处理GPS接收数据的应用研究Boot Loader在嵌入式系统中的应用ADS宽带组网与测试基于FPGA的IIR滤波器设计MP3宽带音频解码关键技术的研究与实现基本门电路和数值比较器的设计编码器和译码器的设计智力竞赛抢答器移位寄存器的设计与实现四选一数据选择器和基本触发器的设计四位二进制加法器和乘法器数字钟的设计与制作数字秒表的设计数控分频器及其应用汽车尾灯控制器的设计交通灯控制器的设计简易电子琴的设计简单微处理器的设计DSP最小系统的设计与开发基于消息队列机制(MSMQ)的网络监控系统基于DSP的电机控制的研究基于数学形态学的织物经纬密度的研究纱条均匀度测试的研究 图像锐化算法的研究及其DSP实现 手写体数字识别有限冲击响应滤波器的设计及其DSP实现 同步电机模型的MATLAB仿真USB通信研究及其在虚拟仪器中的应用设计WLAN的OFDM信道估计算法研究采用S12交换机支持NGN下MEGACO呼叫流程的设计基于语音信号预测编码的数据压缩算法的研究与实现基于小波变换数字图像水印盲算法基于小波变换和神经网络的短期负荷预测研究嵌入式系统建模仿真环境PtolemyII的研究与应用分布式计算环境的设计与实现复合加密系统中DES算法的实现大学自动排课算法设计与实现基于AES的加密机制的实现基于AES算法的HASH函数的设计与应用基于DM642的视频编码器优化和实现基于Huffman编码的数据压缩算法的研究与实现基于internet的嵌入式远程测控终端研制基于Matlab的FMCW(调频连续波)的中频正交处理和脉冲压缩处理 基于MATLAB的对称振子阻抗特性和图形仿真基于windows的串口通信软件设计基于粗糙集和规则树的增量式知识获取算法自适应蚁群算法在DNA序列比对中的应用远程监护系统的数据记录与传输技术研究基于分布式体系结构的工序调度系统的设计基于活动图像编码的数据压缩算法的设计与实现基于宽带声音子带编码的数据压缩算法的设计与实现基于网络数据处理XML技术的设计基于小波变换的数据压缩算法的研究与实现基于小波变换的配电网单相接地故障定位研究及应用英特网上传输文件的签名与验证程序

简单的说就是按键触发信号发给处理器,再由处理器调用音色库音色通过功放电路输出或者通过数码接口进行数字输出。控制面板上的按钮来选择处理器对音色、音量、输出方式、伴奏的控制。 玩具和简单的老式电子琴就简单得多,按键做为开关接通内部发声单元,进行固定频率的发声。或者由初级的单片机处理发声频率。 电子琴的工作原理一般有FM(调频)模式和PCM(采样)模式。 现在常用的电子琴的常见品牌有YANMAHA 和CASIO,海南的乐手比较喜欢使用YAMAHA的产品,因为它的设置比较合理,现场使用非常 方便;音色比较真实,使用效果更佳。 单独用一具电子琴,就可以现场作出类似一支完整乐队的效果。所以现在一些演出场合中,比较流行一具电子琴(有些会再加上一具合成器)的单人编制(简称单编),或一具电子琴和一把吉他的双人编制(简称双编)。搞单编需要乐手具备较高的电子琴操作和演奏水平。本版中的“啊方十指琴魔”就是这样的单编高手之一。搞单编或双编对电子琴的要求也较高,在YAMAHA的电子琴系列中,一般要PSR 410以上级别的产品才能达到乐手们常说的“能用”的水平。 电振荡乐 器 以电振荡作为激励声源的乐器,称为电振荡乐器 。 目前人们能见到的各种电子琴、电子合成器、电子鼓机等都属于电振荡 乐器。 说明:当演奏者按下键盘中的某一琴键时,琴键下面的电路就将信号传 送给振荡器 1 和振荡器2,产生相应的音高振荡频率;手指触键的力度信号 也会同时传给包络发生器 1 和包络发生器2,并通知滤波器和放大器产生相 应的音量及音色的变化;最后,声音信号通过放大器输出。图中低频振荡器 的作用,是能够根据演奏者的需要,对所有其它部分——振荡器、滤波器和 放大器——进行调制,从而使合成器能够发出颤音的效果。 目前,国外生产的电子合成器以及较高档的电子琴都有MIDI 接口,相互 间可串接使用。目前较流行的使用方法是用一台计算机通过MIDI 接口来控制 所有相关的电子乐器和音响效果发生器,从而能够方便地产生丰富多彩的音 乐音响效果。

毕业论文电子设计

天下没有免费的午餐

字数多少?大概提纲。

大学是干嘛的地方?无论多高的学历和职称,不会设计、制造教具,不会设计、制造教学仪器,不会维修仪器和设备;用你父母的钱进口教学仪器模仿了委托工厂仿制就是佼佼者;用你父母的钱请校外的人来维修设备、从校外采购配件;用你父母的钱请教学仪器生产企业提供教学实验讲义,将作者填上他们的名字就有教学突出成就奖;教你背诵的公式和外语,永远也比不上美国麻省理工学院在网上公开的教材内容。学生也不要埋怨学费贵,除了上面教师的原因,你们自己的基础实验、专业课就上的迷迷糊糊的,高额投资下的创新实验项目、挑战杯、科技竞赛、毕业论文、商业开发,都见不得阳光,将真金白银变成了一堆堆的垃圾!!!!

具体什么内容的

相关百科

热门百科

首页
发表服务