首页

毕业论文

首页 毕业论文 问题

毕业论文设计时钟图片

发布时间:

毕业论文设计时钟图片

1、要找好自己的毕业设计导师,有些学校指导老师是学院安排,也有是自己提前去预约老师。确定好自己导师之后,导师会给一些课题以供选择。

2、在题目确定好之后,老师会发给每一个学生毕业设计任务书,并且交代一些毕业设计的注意事项。

3、领到毕业设计任务书以后,详读上面的有关毕业设计的进度安排,一定要注意开题答辩这个时间。在这个时间内,要查好毕业设计有关资料。并且进行开题报告,开题答辩等准备。

4、开提报告完成后,电子稿经指导教师审阅没有问题,进行下面的毕业设计。

5、到答辩环节前,上交毕业设计所有资料。答辩过程中阐述自己的想法,老师会提出各种问题需要你解答,不要和老师起争执。

6、答辩结束后对于老师指出的问题,在修改之后,把所有毕业设计资料都导师检查签字,再上交。之前交的那份,在检查之后会发下来。所以最后要重新交一份修改之后的毕业设计资料。

扩展资料

毕业设计报告的书写格式及要求

(1)报告一律用钢笔书写,理工科用毕业设计论文用纸、文科用400字稿纸书写或打印。要求汉字使用正式公布的简体,外文字母一律用仿印刷书写;计量单位以国际单位制(ISO)为基础;注释用页末注(即把注文放在加注处一页的下端);公式、图表应按顺序编号,并与正文对应。

(2)图纸尺寸按国家标准,图面整洁、布局合理、线条粗细均匀、圆弧连接光滑、尺寸标注规范、文字注释用工程字书写,图表须按规定要求或工程要求绘制。

(3)参考文献格式:科技书籍和专著:主要责任者、书名、出版单位、出版时间、页码;科技论文:责任者、论文篇名、刊物名、年、卷(期)、页码。

毕业设计报告的装订要求

毕业设计报告单独装订成册,使用统一封面。

参考资料来源:百度百科-毕业设计

毕业设计的主要内容如下:

毕业论文(设计)的主要内容与基本要求

毕业论文(设计)的主要内容应包括文献综述、任务提出、方案论证、设计思想、设计计算或理论分析、实验结果、技术分析、结论等。设计类题目要有相应的设计图纸和设计说明书,实验研究类的题目要有相应的系统结构图,毕业论文(设计)的基本要求要符合学校本科生毕业论文(设计)撰写规范。

学生完成的毕业论文(设计)书面材料应包括:

1、开题报告(单独装订)。封面内容:大理学院本科学生毕业论文(设计),中英文题目,所属学院,项目组成员,指导教师,专业,年级(班级),起止日期,制表日期。

2、中英文摘要:论文摘要以浓缩的形式概括研究课题的内容,具有独立性,即不阅读毕业设计报告(论文)全文即可获得其主要信息,主要说明毕业论文(设计)的内容、研究方法、成果、价值和结论,字数控制在800字以内,英文摘要应与中文摘要基本相对应。

3、中英文关键词:关键词为毕业设计报告(论文)中使用到的重要词语,各关键词中间用分号隔开,最后一个关键词后不用标点符号,关键词一般为5—8个。

4、中英文摘要和关键词的排列顺序为:中文摘要(标识为[摘要])、中文关键词标识为[关键词]、英文摘要(标识为[Abstract])、英文关键词(标识为[Key words]),四部份内容独立成页,顶格排版。

5、目录:各标题及附件目录。正文:毕业设计报告字数一般在10000—15000字之间,毕业论文在8000—15000字(正文中引用的参考文献的内容需在引用内容结束字符的右上角标记。

6、标题:标题应层次清晰,以“1”、“”、“”等层次标注标题序号。附录:对正文内容提供支撑的相关材料,如必要的数据、图表、源程序、图片等。参考文献:毕业设计50篇及以上,毕业论文20篇及以上,连续编号(参考文献独立成业)。

毕业论文封面做法如下:

工具/原料:电脑、word。

1、首先,新建一张空白Word,双击打开,在其中输入“学样信息,题目,学院,专业,学生姓名,学号,指导老师,年月日”等字样。

2、将选中部分文字居左显示,型茄袜在“视图”选项卡下勾选“标尺”按钮,打开档标尺。拖动标尺调整选中部分文字的位置。

3、下面划横线,依次点击【插入】-【形状】选择直线。

4、摁住Shift键,根据自己需要绘制长度适中的线段,摁住Crtl键复制刚才绘制完成的直线。

5、至此,封面,就已经大功告成。有些学校的学校名称可能是图片,此时就需要点击【插入】-【图片】-【来自文件】来进行图片的插入。然后将图片进行适当调整。

毕业论文介绍

毕业论文,按一门课程计,是普通中等专业学校、高等专科学校、本科院校、高等教育自学考试本科及研究生学历专业教育学业的最后一个环节,为对本专业学生集中进行科学研究训练而要求学生在毕业前总结性独立作业、撰写的论文。

从文体而言,它也是对某一专业领域的现实问题或理论问题进行 科学研究探索的具有一定意义的论文。一般安排在修业的最后一学年进行。学生须在教师指导下,选定课题纳蚂进行研究,撰写并提交论文。

目的在于培养学生的科学研究能力;加强综合运用所学知卜激识、理论和技能解决实际问题的训练;从总体上考查学生学习所达到的学业水平。

(1)毕业设计选题:对接学校指导教师按照学生人数量报毕业设计课题(课题难度及工作量要符合本科毕业设计要求,具体见毕业设计须知)→由专业教研室初步把关→毕业设计选题目打包发学院联系教师审核→审核后的题目发学生自主选题→毕业设计开始。(2)毕业设计过程毕业设计开始后,指导教师要及时把任务书下发给学生(任务书见范例样本),学生按照任务书开始做毕业设计课题。具体过程:学生查阅和课题相关的文献资料,并把参考的文献记录下来,作为开题报告及论文的后面的“参考文献”→学生翻译1篇英文文献,文献可以老师提供,也可以学生在杂志及网络查询,内容最好和毕业设计课题有点相关性→学生撰写开题目报告(最迟任务下发后2至4周内完成),开提报告完成后,电子稿经指导教师审阅没有问题,学生才能进行下面的设计工作→开始进行工艺分析计算、模具设计、模具图纸绘制、毕业论文撰写。(3)毕业答辩毕业答辩环节是整个毕业设计工作的总结和检验,也是间接考查对接学校对毕业设计的重视程度、教师指导水平、工作是否扎实的一个重要环节。答辩由学院联系教师作为答辩组长,负责指导毕业答辩流程及检查毕业设计资料的规范性,请对接学校要提前确定好答辩时间并和联系老师沟通好,保证毕业答辩的如期正常进行。毕业设计答辩要准备的相关文件请参考“毕业设计须知”,答辩接受后学生毕业设计资料要按照规定整理归档。(4)其他事项毕业设计是学生专业综合训练、关系学生是否能按时取得学士学位的关键环节,请各对接学校要高度重视毕业设计工作。毕业设计开始后,对接学校要和学院联系教师保持密切联系,有什么问题要及时沟通,如有什么事情需要协调,请及时和老师联系。

毕业论文设计时钟要求

摘 要本次的硬件综合设计是对我们所学知识的综合运用,独立完成具有一定实用价值的小型系统——数字时钟。数字时钟是一种用数字技术实现是、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,具有更长的使用寿命,能被更好的广泛运用。数字时钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。数字时钟系统的主要功能:(1)通过液晶显示器显示时分秒,具有时分校准、整点报时和加点自检功能;(2)整点报时通过光和声音两种情况报警;(3)时钟信号有主用时钟电路提供;(4)时钟校准由键盘完成;(5)系统在丢电的情况下不影响时钟的运行。系统运用到的硬件资源:单片机核心系统(AT89S52)、实时时钟(DS1307)、TD0273D01七段LCD(HT1621B驱动)、NTC测量电路(NE555)、USB通信和供电电路( CH372)、LED指示灯、键盘、蜂鸣器等。首先,我们通过基本的焊接技能训练,掌握LCD Exam实验板的硬件原理,进行PCB线路板的设计,将运用到的硬件进行组装和焊接,通过硬件调试。接着,根据所设计数字时钟的功能要求进行软件的总体结构设计、软件的具体实现并仿真调试。最后,进行程序固化、系统的调试和维护,最终完成整个系统的设计,提交课程设计报告。 此系统的设计是我们了解采用控制产品开发的全部过程,掌握专用计算机系统的软硬件设计过程、方法及实现,为以后设计和工作打下良好基础。关键词:数字时钟 DS1307 单片机 目 录 摘要一、总体结构二、硬件设计原理1、时钟模块2.核心模块3.显示及驱动模块4.其他电路(1)蜂鸣器(2)POWER LED指示灯(3)键盘(4键)(4)电阻(5)电容三、软件总体结构四、软件具体实现1.系统初始化2.报警部分3.显示程序4.CPU读流程5.HT1621的一个字节的写过程6.DS1307的一个字节写的过程7.DS1307的一个字节读的过程五、调试和故障排除1.焊接测试2.程序调试六、结束语七、参考文献八、附录

电子工程学院毕业设计(论文)任务书学生姓名 专业 班级学号指导教师姓名 学历 大学本科 职称 讲师 一、毕业设计(论文)题目:基于单片机数字时钟设计 二、毕业设计(论文)课题来源、意义与主要内容三、毕业设计(论文)课题目的要求和主要技术指标基本要求1、设计制作一个包含简单按键的单片机系统,用MAX7219驱动8位数码管,来显示时间。发挥部分1、可对时间误差进行调整。2、可定时。 四、进度计划:1、 查找相关资料。2、 按需求设计单片机电路和外围电路。3、 组装电路。4、 设计调试程序。5、 完成发挥部分6、 写出论文 五、主要文献、资料和参考书: 胡乾斌等 单片微型计算机原理及应用 华中科技大学出版社 1997 廖先云等 电子技术实践与训练 高等教育出版社 2003

目录摘 要 IAbstract II目录 III第一章 绪 论 - 1 课题的背景 - 1 课题意义 - 2 本章小结 - 3 -第二章 总体设计方案与论证 - 4 电源模块方案的选择与论证 - 4 时钟电路方案的选择与论证 - 4 显示电路方案的选择与论证 - 5 闹钟电路方案的选择与论证 - 5 键扫描电路方案的选择与论证 - 6 本章小结 - 6 -第三章 系统硬件设计 - 7 主控芯片STC89C52的介绍 - 7 STC89C52的主要性能参数 - 7 STC89C52单片机的功能特性概述: - 8 时钟部分功能介绍及电路设计 - 11 显示模块功能介绍及电路设计 - 14 闹钟电路模块介绍及电路设计 - 16 功能按键模块介绍及电路设计 - 17 电源模块介绍及电路设计 - 17 本章小结 - 18 -第四章 系统软件设计 - 19 日历程序设计 - 19 时间调整程序设计 - 20 闹钟设置程序设计 - 22 闹钟蜂鸣程序设计 - 23 本章小结 - 23 -第五章 系统调试 - 24 系统的调试 - 24 系统的调试出现的问题及解决 - 24 本章小结 - 24 -第六章 结 论 - 25 -参考文献 - 26 -致 谢 - 27 -附录 - 28 -附录一 :本设计电路原理图 - 28 -附录二:数字日历钟电路设计的C程序 - 29 -

具体的先写HDL,写好了EDA工具给综合可以参考百度文库资料数字电子时钟工作原理(参考百度百科)单片机通过了 3只 74HC164串行-并行转换芯片后,驱动时钟屏幕,因为时钟屏幕的极性是共阴极,数字电路钟点所以必须使用“74HC”电路而不能使用“74LS”电路,后者的高电平驱动能力很差!这里的 3 只 74HC164芯片,自身属于串行输入,而从单片机一则看过去,3 只芯片驱动方式则是并行驱动,这样可以避免每次传送新的显示数据时,都需要从头到尾传送 24 个笔段数据。目前的传送方式可以只是传送已经变化了的显示数据。晶体频率使用的是 32768HZ,这种低频率时基,对掉电保护的电池耗电关系极大,HT48R10A单片机具有的“RTC”实时时钟的功能,大大方便了电路设计。按照常规,在如此低的频率下,对单片机的指令执行速度会有矛盾,但是,这种单片机却能够让程序运行时使用“内部 RC ”振荡频率而仅仅是时钟部分使用 32768HZ频率,这样,就可以选择“内部 RC”高达数 MHZ 的指令运行频率而不用理会时钟走时频率,两者依靠这种特有的“RTC”功能获得了很理想的配合。当进入电池掉电保护的时候,可以令电池耗电维持在仅仅数十 uA 的水平,一只 60mAh的掉电保护电池,就可以让掉电保护时间长达几个月之久!进入掉电保护后,屏幕不显示,所有按钮和控制功能暂时失效,仅仅实时时钟仍然继续走时。当外部主电源恢复供电后,所有功能自动恢复,实时时钟无需调整。单片机的 15P是复位引脚,当上电时或者程序运行发生异常时,可以通过此引脚让程序重新运行。但是,一般地,单片机本身具有“看门狗”自动复位功能,可以快速地自动对程序运行异常进行复位,人们几乎觉察不到它的复位影响。单片机的 10P 引脚安排为专门检测外部供电是否正常,当外部 5V供电掉电后,单片机将立即进入掉电保护状态,而在电路中电源能量还没有完全消耗尽之前,程序也必须抢先对各个端口进行配置,以便进入低电源消耗状态。电路图中有两个输出端口,一个是“睡眠”控制输出端口,它只有在开始倒计时的时候才会输出高电平;另一个时“定时”输出端口,它只有在到达定时时间的时候才会输出高电平。合理地利用这两个输出,就能够安排一些简单的自动控制,例如,可以利用“睡眠”的倒计时功能来给电孵化行业的“自动翻蛋”使用,利用“定时”功能来作为一只“电子闹钟”等等。电路中,屏幕的公共引脚接有一只 NPN小功率三极管,这主要是在单片机对 74HC164 传送数据时,临时关闭显示屏幕的供电以免产生“鬼影”,同时,在掉电保护时则可以完全关闭屏幕的供电。单片机预留了两个端口没有使用,这里可以在将来安排外接电存储器,以便派生例如电子打铃仪或者多次定时数据存储,成为功能更加丰富的时钟品种。各个按钮的使用说明:(请参考印刷板图)。各按键在印刷板上的编号与单片机芯片引脚和功能关系,请参考下面表格。其中,标注“G”的焊盘是电路供电的参考点,即 5V电源的负极,俗称“地线”。所有按键都是需要与这个“G”接通的时候(需要串入 1K 左右电阻),该按键才算是“被按下”。当这个“G”引出到按键板时,需要在它上面串接一只 1K左右的电阻,不要直接让其与各按键引脚直接“短接”,以防止芯片内部引脚损坏。是以一种元器件做为振动源,而这种振动源在一定条件下,具有很高的恒定频率,把恒频率的振动转化为电脉冲,再按1秒多少次,用电子器件进行计数,达到次数为1秒,计数60秒为1分钟.....,并用相应的显示机构进行显示,这种机构可以是机械的、也可以是电子液晶、二极管等方式。

数字时钟设计的毕业论文

大学生啊,不会自己找资料,照着做一个出来吗?这还不是要求你独立设计一个呢,真的这么难吗?

数字时钟 电子时钟毕业设计 全路面起重机 玉米脱粒机的设计 毕业设计 连杆孔研磨装置设计毕业设计 硅胶(RB)手机按键模具分析与制作 注射器盖毕业课程设计说明书旁承上平面与下心盘上平面垂直距离检测装置的设计毕业论文 拉线套注射模设计 q 348414338

采用51单片机控制的简易数字钟设计(免费下载)采用51单片机控制的简易数字钟设计(免费下载)一、硬件电路(参见原理图图2)1、电源:51系列单片机需要 5V直流稳压电源。将 220V交流电降压,变压器选择5W,次级电压为 10V。桥式 整流,二极管选择 1 N4002。选三端稳压器7805。2个 1OO u F25V电容在输入和输出滤波。在5V输出端再加一个0 01 u F瓷片电容进行高频滤波。2、复位电路:复位电路采用上电复位和按钮复位。由一个 10 u F的电容和一个按钮以及 51kQ、!.2kQ电阻各一个构成。3、外部晶振电路:外部晶振电路由2个 30pF的电容和一个 12MHz的晶体振荡器构成。4、校时和校分电路:由P3.3外接一个按钮开关到地作为校时键。由P3 2外接一按钮到地作为校分键。5、显示电路:因为P口的灌电流在 15mA左右,可直接驱动数码管。由P0 口和P1口各外接一个共阳极数码管。直接驱动,P0所接数码管显示分,P1所接数码管显示十分,小数点不点亮。P2口外接一个数码管显示小时,但小数点要点亮,用以分隔时分。P3口的P3.1和P3.0分别外接到一数码管的b段和 c段,显示十小时,如果不到十小时,该两段灭。小时的显示为 12进制。二、软件系统软件系统分四个部分:主程序部分。计时显示中断子程序部分,校时中断子程序部分,校分中断子程序部分,分别介绍如下:

数码管时钟设计毕业论文

数字电子技术课程设计报告 题 目: 数字钟的设计与制作 学 年 学 期: 专 业 班 级: 学 号: 姓 名: 指导教师及职称:讲师 时 间: 地点: 设计目的 熟悉集成电路的引脚安排. 掌握各芯片的逻辑功能及使用方法. 了解面包板结构及其接线方法. 了解数字钟的组成及工作原理. 熟悉数字钟的设计与制作. 设计要求 1.设计指标 时间以24小时为一个周期; 显示时,分,秒; 有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号. 2.设计要求 画出电路原理图(或仿真电路图); 元器件及参数选择; 电路仿真与调试; PCB文件生成与打印输出. 3.制作要求 自行装配和调试,并能发现问题和解决问题. 4.编写设计报告 写出设计与制作的全过程,附上有关资料和图纸,有心得体会. 设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路.由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定.通常使用石英晶体振荡器电路构成数字钟.图 3-1所示为数字钟的一般构成框图. 图3-1 数字钟的组成框图 ⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定.不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路. ⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数.分频器实际上也就是计数器. ⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器,分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器. ⑷译码驱动电路 译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流. ⑸数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管. 2.数字钟的工作原理 1)晶体振荡器电路 晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定. 图3-2所示电路通过CMOS非门构成的输出为方波的数字式晶体振荡电路,这个电路中,CMOS非门U1与晶体,电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波.输出反馈电 阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器.电容C1,C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能.由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确. 晶体XTAL的频率选为32768HZ.该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数. 从有关手册中,可查得C1,C2均为30pF.当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施. 由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为10MΩ.较高的反馈电阻有利于提高振荡频率的稳定性. 非门电路可选74HC00. 图3-2 COMS晶体振荡器 2)分频器电路 通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频. 通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现.例如,将32768Hz的振荡信号分频为1HZ的分频倍数为32768(215),即实现该分频功能的计数器相当于15极2进制计数器.常用的2进制计数器有74HC393等. 本实验中采用CD4060来构成分频电路.CD4060在数字集成电路中可实现的分频次数最高,而且CD4060还包含振荡电路所需的非门,使用更为方便. CD4060计数为14级2进制计数器,可以将32768HZ的信号分频为2HZ,其内部框图如图3-3所示,从图中可以看出,CD4060的时钟输入端两个串接的非门,因此可以直接实现振荡和分频的功能. 图3-3 CD4046内部框图 3)时间计数单元 时间计数单元有时计数,分计数和秒计数等几个部分. 时计数单元一般为12进制计数器计数器,其输出为两位8421BCD码形式;分计数和秒计数单元为60进制计数器,其输出也为8421BCD码. 一般采用10进制计数器74HC390来实现时间计数单元的计数功能.为减少器件使用数量,可选74HC390,其内部逻辑框图如图 所示.该器件为双2—5-10异步计数器,并且每一计数器均提供一个异步清零端(高电平有效). 图3-4 74HC390(1/2)内部逻辑框图 秒个位计数单元为10进制计数器,无需进制转换,只需将QA与CPB(下降沿有效)相连即可.CPA(下降没效)与1HZ秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CPA相连. 秒十位计数单元为6进制计数器,需要进制转换.将10进制计数器转换为6进制计数器的电路连接方法如图3-5所示,其中Q2可作为向上的进位信号与分个位的计数单元的CPA相连. 图3-5 10进制——6进制计数器转换电路 分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连. 时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为12进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行12进制转换.利用1片74HC390实现12进制计数功能的电路如图3-6所示. 另外,图3-6所示电路中,尚余-2进制计数单元,正好可作为分频器2HZ输出信号转化为1HZ信号之用. 图3-6 12进制计数器电路 4)译码驱动及显示单元 计数器实现了对时间的累计以8421BCD码形式输出,选用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,选用CD4511作为显示译码电路,选用LED数码管作为显示单元电路. 5)校时电源电路 当重新接通电源或走时出现误差时都需要对时间进行校正.通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可. 根据要求,数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中.图3-7所示即为带有基本RS触发器的校时电路, 图3-7 带有消抖动电路的校正电路 6)整点报时电路 一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒.其作用方式是发出连续的或有节奏的音频声波,较复杂的也可以是实时语音提示. 根据要求,电路应在整点前10秒钟内开始整点报时,即当时间在59分50秒到59分59秒期间时,报时电路报时控制信号.报时电路选74HC30,选蜂鸣器为电声器件. 元器件 1.实验中所需的器材 5V电源. 面包板1块. 示波器. 万用表. 镊子1把. 剪刀1把. 网络线2米/人. 共阴八段数码管6个. CD4511集成块6块. CD4060集成块1块. 74HC390集成块3块. 74HC51集成块1块. 74HC00集成块5块. 74HC30集成块1块. 10MΩ电阻5个. 500Ω电阻14个. 30p电容2个. 时钟晶体1个. 蜂鸣器. 2.芯片内部结构图及引脚图 图4-1 7400 四2输入与非门 图4-2 CD4511BCD七段译码/驱动器 图4-3 CD4060BD 图4-4 74HC390D 图4-5 74HC51D 图4-6 74HC30 3.面包板内部结构图 面包板右边一列上五组竖的相通,下五组竖的相通,面包板的左边上下分四组,每组中X,Y列(0-15相通,16-40相通,41-55相通,ABCDE相通,FGHIJ相通,E和F之间不相通. 个功能块电路图 一个CD4511和一个LED数码管连接成一个CD4511驱动电路,数码管可从0---9显示,以次来检查数码管的好坏,见附图5-1. 图5-1 4511驱动电路 利用一个LED数码管,一块CD4511,一块74HC390,一块74HC00连接成一个十进制计数器,电路在晶振的作用下数码管从0—9显示,见附图5-2. 图5-2 74390十进制计数器 利用一个LED数码管,一块CD4511,一块74HC390,一块74HC00和一个晶振连接成一个六进制计数器,数码管从0—6显示,见附图5-3. 图5-3 74390六进制计数器 利用一个六进制电路和一个十进制连接成一个六十进制电路,电路可从0—59显示,见附图5-4. 图5-4 六十进制电路 利用两个六十进制的电路合成一个双六十进制电路,两个六十进制之间有进位,见附图5-5. 图5-5 双六十进制电路 利用CD4060,电阻及晶振连接成一个分频——晶振电路,见附图5-6. 图5-6 分频—晶振电路 利用74HC51D和74HC00及电阻连接成一个校时电路,见附图5-7. 图5-7 校时电路 利用74HC30和蜂鸣器连接成整点报时电路.见附图5-8. 图5-8 整点报时电路 利用两个六十进制和一个十二进制连接成一个时,分,秒都会进位的电路总图,见附图5-9. 图5-9 时,分,秒的进位连接图 总接线元件布局简图,见附图6-1 芯片连接图见附图7-1 八,总结 设计过程中遇到的问题及其解决方法. 在检测面包板状况的过程中,出现本该相通的地方却未通的状况,后经检验发现是由于万用表笔尖未与面包板内部垂直接触所至. 在检测CD4511驱动电路的过程中发现数码管不能正常显示的状况,经检验发现主要是由于接触不良的问题,其中包括线的接触不良和芯片的接触不良,在实验过程中,数码管有几段二极管时隐时现,有时会消失.用5V电源对数码管进行检测,一端接地,另一端接触每一段二极管,发现二极管能正常显示的,再用万用表欧姆档检测每一根线是否接触良好,在检测过程中发现有几根线有时能接通,有时不能接通,把接触不好的线重新接过后发现能正常显示了.其次是由于芯片接触不良的问题,用万用表欧姆档检测有几个引脚本该相通的地方却未通,而检测的导线状况良好,其解决方法为把CD4511的芯片拔出,根据面包板孔的的状况重新调整其引脚,使其正对于孔,再用力均匀地将芯片插入面包板中,此后发现能正常显示,本次实验中还发现一块坏的LED数码管和两块坏的CD4511,经更换后均能正常显示. 在连接晶振的过程中,晶振无法起振.在排除线与芯片的接触不良问题后重新对照电路图,发现是由于12脚未接地所至. 在连接六进制的过程中,发现电路只能4,5的跳动,后经发现是由于接到与非门的引脚接错一根所至,经纠正后能正常显示. 在连接校正电路的过程中,出现时和分都能正常校正时,但秒却受到影响,特别时一较分钟的时候秒乱跳,而不校时的时候,秒从40跳到59,然后又跳回40,分和秒之间无进位,电路在时,分,秒进位过程中能正常显示,故可排除芯片和连线的接触不良的问题.经检查,校正电路的连线没有错误,后用万用表的直流电压档带电检测秒十位的QA,QB,QC和QD脚,发现QA脚时有电压时而无电压,再检测秒到分和分到时的进位端,发现是由于秒到分的进位未拔掉所至. 在制作报时电路的过程中,发现蜂鸣器在57分59秒的时候就开始报时,后经检测电路发现是由于把74HC30芯片当16引脚的芯片来接,以至接线都错位,重新接线后能正常报时. 连接分频电路时,把时个位的QD和时十位的1脚断开,然后时十位的1脚接到晶振的3脚,时十位的3脚接到秒个位的1脚,所连接的电路图无法正常工作,时十位从0-9的跳,时个位只能显示一个0,在这个电路中3脚的分频用到两次,故无法正常显示,因此要把12进制接到74HC390的一个逻辑电路空出来用于分频即可,因此把时十位的CD4511的12,6脚接地,7脚改为接74HC390的5脚,74HC390的3,4脚断开,然后4脚接9脚即可,其中空出的74HC390的3脚就可用于2Hz的分频,分频后变为1Hz,整个电路也到此为正常的数字钟计数. 2.设计体会 在此次的数字钟设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法. 在连接六进制,十进制,六十进制的进位及十二进制的接法中,要求熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了. 在设计电路中,往往是先仿真后连接实物图,但有时候仿真和电路连接并不是完全一致的,例如仿真的连接示意图中,往往没有接高电平的16脚或14脚以及接低电平的7脚或8脚,因此在实际的电路连接中往往容易遗漏.又例如74HC390芯片,其本身就是一个十进制计数器,在仿真电路中必须连接反馈线才能正常显示,而在实际电路中无需再连接,因此仿真图和电路连接图还是有一定区别的. 在设计电路的连接图中出错的主要原因都是接线和芯片的接触不良以及接线的错误所引起的. 3.对该设计的建议 此次的数字钟设计重在于仿真和接线,虽然能把电路图接出来,并能正常显示,但对于电路本身的原理并不是十分熟悉.总的来说,通过这次的设计实验更进一步地增强了实验的动手能力.

电子钟相关毕业设计 ·数字电子钟的电路设计 (字数:9242,页数:22 )·数字电子钟的设计与制作 (字数:8017,页数:22 )·数字钟的设计 (字数:6208,页数:21 )·基于8051单片机的数字钟 (字数:21638,页数:50)·基于单片机的电子时钟控制系统 (字数:7935,页数:42 )·数字电路数字钟设计 (字数:4846,页数:21 )·电子闹钟设计 (字数:4094,页数:19 )·定时闹钟设计 (字数:5714,页数:24 )·智能定时闹钟设计 (字数:3826,页数:18 )·下棋定时钟设计 (字数:5290,页数:24 )·多功能数字钟设计与制作 (字数:13129,页数:34)·基于单片机的电子钟设计 (字数:7710,页数:24 )·基于单片机的数字电子钟设计 (字数:10301,页数:42)·基于Labview的虚拟数字钟设计 (字数:17457,页数:32)·电子日历钟 (字数:10677,页数:33)·数字钟的设计与制作 (字数:4922,页数:23 )·单片机数字钟设计 (字数:15355,页数:47)·基于单片机的数字钟设计 (字数:12541,页数:27)·单片机定时闹钟设计 (字数:8450,页数:24 )·万年历可编程电子钟控电铃 (字数:14371.页数:41)·数字定时闹钟设计 (字数:7770,页数:28 )·基于EDA技术的数字电子钟设计 (字数:12247,页数:32)·多功能时钟打点系统设计 (字数:8353,页数:31 )·智能音乐闹钟设计 (字数:10002,页数:37)·基于AT89S51单片机的数字电子钟设计 (字数:14560,页数:39)

1.基于labVIEW虚拟滤波器的设计与实现 2.双闭环直流调速系统设计 3.单片机脉搏测量仪 4.单片机控制的全自动洗衣机毕业设计论文 电梯控制的设计与实现 6.恒温箱单片机控制 7.基于单片机的数字电压表 8.单片机控制步进电机毕业设计论文 9.函数信号发生器设计论文 变电所一次系统设计 11.报警门铃设计论文 单片机交通灯控制 13.单片机温度控制系统 通信系统中的接入信道部分进行仿真与分析 15.仓库温湿度的监测系统 16.基于单片机的电子密码锁 17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现 19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信 设计的IIR数字高通滤波器 22.单片机数字钟设计 23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文 25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计 27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统 毕业论文 29.宽带视频放大电路的设计 毕业设计 30.简易数字存储示波器设计毕业论文 31.球赛计时计分器 毕业设计论文 数字滤波器的设计毕业论文 机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文 变电站电气主接线设计 序列在扩频通信中的应用 37.正弦信号发生器 38.红外报警器设计与实现 39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文 41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机 毕业设计论文 43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计 45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文 47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文 49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文 51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文 53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文 55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文 57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论 59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文 61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文 63.楼宇自动化--毕业设计论文 64.车辆牌照图像识别算法的实现--毕业设计 65.超声波测距仪--毕业设计 66.工厂变电所一次侧电气设计 67.电子测频仪--毕业设计 68.点阵电子显示屏--毕业设计 69.电子电路的电子仿真实验研究 70.基于51单片机的多路温度采集控制系统 71.基于单片机的数字钟设计 72.小功率不间断电源(UPS)中变换器的原理与设计 73.自动存包柜的设计 74.空调器微电脑控制系统 75.全自动洗衣机控制器 76.电力线载波调制解调器毕业设计论文 77.图书馆照明控制系统设计 78.基于AC3的虚拟环绕声实现 79.电视伴音红外转发器的设计 80.多传感器障碍物检测系统的软件设计 81.基于单片机的电器遥控器设计 82.基于单片机的数码录音与播放系统 83.单片机控制的霓虹灯控制器 84.电阻炉温度控制系统 85.智能温度巡检仪的研制 86.保险箱遥控密码锁 毕业设计 变电所的电气部分及继电保护 88.年产26000吨乙醇精馏装置设计 89.卷扬机自动控制限位控制系统 90.铁矿综合自动化调度系统 91.磁敏传感器水位控制系统 92.继电器控制两段传输带机电系统 93.广告灯自动控制系统 94.基于CFA的二阶滤波器设计 95.霍尔传感器水位控制系统 96.全自动车载饮水机 97.浮球液位传感器水位控制系统 98.干簧继电器水位控制系统 99.电接点压力表水位控制系统 100.低成本智能住宅监控系统的设计 101.大型发电厂的继电保护配置 102.直流操作电源监控系统的研究 103.悬挂运动控制系统 104.气体泄漏超声检测系统的设计 105.电压无功补偿综合控制装置 型无功补偿装置控制器的设计 电机调速 频段窄带调频无线接收机 109.电子体温计 110.基于单片机的病床呼叫控制系统 111.红外测温仪 112.基于单片微型计算机的测距仪正文 113.智能数字频率计 114.基于单片微型计算机的多路室内火灾报警器 115.信号发生器 116.基于单片微型计算机的语音播出的作息时间控制器 117.交通信号灯控制电路的设计 118.基于单片机步进电机控制系统设计 119.多路数据采集系统的设计 120.电子万年历 121.遥控式数控电源设计 降压变电所一次系统设计 变电站一次系统设计 124.智能数字频率计 125.信号发生器 126.基于虚拟仪器的电网主要电气参数测试设计 127.基于FPGA的电网基本电量数字测量系统的设计 128.风力发电电能变换装置的研究与设计 129.电流继电器设计 130.大功率电器智能识别与用电安全控制器的设计 131.交流电机型式试验及计算机软件的研究 132.单片机交通灯控制系统的设计 133.智能立体仓库系统的设计 134.智能火灾报警监测系统 135.基于单片机的多点温度检测系统 136.单片机定时闹钟设计 137.湿度传感器单片机检测电路制作 138.智能小车自动寻址设计--小车悬挂运动控制系统 139.探讨未来通信技术的发展趋势 140.音频多重混响设计 141.单片机呼叫系统的设计 142.基于FPGA和锁相环4046实现波形发生器 143.基于FPGA的数字通信系统 144.基于单片机的带智能自动化的红外遥控小车 145.基于单片机AT89C51的语音温度计的设计 146.智能楼宇设计 147.移动电话接收机功能电路 148.单片机演奏音乐歌曲装置的设计 149.单片机电铃系统设计 150.智能电子密码锁设计 151.八路智能抢答器设计 152.组态控制抢答器系统设计 153.组态控制皮带运输机系统设计 154..基于单片机控制音乐门铃 155.基于单片机控制文字的显示 156.基于单片机控制发生的数字音乐盒 157.基于单片机控制动态扫描文字显示系统的设计 158.基于LMS自适应滤波器的MATLAB实现 功率放大器毕业论文 160.无线射频识别系统发射接收硬件电路的设计 161.基于单片机PIC16F877的环境监测系统的设计 162.基于ADE7758的电能监测系统的设计 163.智能电话报警器 164.数字频率计 课程设计 165.多功能数字钟电路设计 课程设计 166.基于VHDL数字频率计的设计与仿真 167.基于单片机控制的电子秤 168.基于单片机的智能电子负载系统设计 169.电压比较器的模拟与仿真 170.脉冲变压器设计 仿真技术及应用 172.基于单片机的水温控制系统 173.基于FPGA和单片机的多功能等精度频率计 174.发电机-变压器组中微型机保护系统 175.基于单片机的鸡雏恒温孵化器的设计 176.数字温度计的设计 177.生产流水线产品产量统计显示系统 178.水位报警显时控制系统的设计 179.红外遥控电子密码锁的设计 180.基于MCU温控智能风扇控制系统的设计 181.数字电容测量仪的设计 182.基于单片机的遥控器的设计 电话卡代拨器的设计 184.数字式心电信号发生器硬件设计及波形输出实现 185.电压稳定毕业设计论文 186.基于DSP的短波通信系统设计(IIR设计) 187.一氧化碳报警器 188.网络视频监控系统的设计 189.全氢罩式退火炉温度控制系统 190.通用串行总线数据采集卡的设计 191.单片机控制单闭环直流电动机的调速控制系统 192.单片机电加热炉温度控制系统 193.单片机大型建筑火灾监控系统 接口设备驱动程序的框架设计 195.基于Matlab的多频率FMICW的信号分离及时延信息提取 196.正弦信号发生器 197.小功率UPS系统设计 198.全数字控制SPWM单相变频器 199.点阵式汉字电子显示屏的设计与制作 200.基于AT89C51的路灯控制系统设计 201.基于AT89C51的宽范围高精度的电机转速测量系统 202.开关电源设计 203.基于PDIUSBD12和K9F2808简易USB闪存设计 204.微型机控制一体化监控系统 205.直流电机试验自动采集与控制系统的设计 206.新型自动装弹机控制系统的研究与开发 207.交流异步电机试验自动采集与控制系统的设计 208.转速闭环控制的直流调速系统的仿真与设计 209.基于单片机的数字直流调速系统设计 210.多功能频率计的设计 信息移频信号的频谱分析和识别 212.集散管理系统—终端设计 213.基于MATLAB的数字滤波器优化设计 214.基于AT89C51SND1C的MP3播放器 215.基于光纤的汽车CAN总线研究 216.汽车倒车雷达 217.基于DSP的电机控制 218.红外恒温控制器的设计与制作 219.串联稳压电源的设计 220.智能编码电控锁设计 221.多用定时器的电路设计与制作 222.基于单片机的数字电压表设计 223.智能饮水机控制系统 224.自行车 车速 报警系统 225.大棚仓库温湿度自动控制系统 226.浮点数运算FPGA实现 227.自行车里程,速度计的设计 228.等精度频率计的设计 229.人体健康监测系统设计 230.基于单片机的音乐喷泉控制系统设计 231.基于嵌入式系统的原油含水分析仪的硬件与人机界面设 232.基于LabVIEW环境下虚拟调幅波解调器的设计 233.虚拟示波器的设计 234.红外线遥控器系统设计 235.基于LabVIEW的虚拟频谱分析仪的研究与设计 236.低频功率放大器设计 237.银行自动报警系统 238.超媒体技术 239.数字电子钟的设计与制作 240.温度报警器的电路设计与制作 241.数字电子钟的电路设计 242.鸡舍电子智能补光器的设计 243.高精度超声波传感器信号调理电路的设计 245.电子密码锁的电路设计与制作 246.单片机控制电梯系统的设计 247.常用电器维修方法综述 248.控制式智能计热表的设计 249.电子指南针设计 250.汽车防撞主控系统设计 251.单片机的智能电源管理系统 252.电力电子技术在绿色照明电路中的应用 253.电气火灾自动保护型断路器的设计 254.基于单片机的多功能智能小车设计 255.对漏电保护器安全性能的剖析 256.解析民用建筑的应急照明 257.电力拖动控制系统设计 区域降压变电所电气系统的设计 AT89系列通用单片机编程器的设计 260.基于单片机的金属探测器设计 261.双闭环三相异步电动机串级调速系统 262.基于单片机技术的自动停车器的设计 263.自动剪板机单片机控制系统设计 264.单片机电器遥控器的设计 265.试论供电系统中的导体和电器的选择 266.浅论10KV供电系统的继电保护的设计方案 267.论无线通信技术热点及发展趋势 268.论工厂的电气照明 269.论供电系统中短路电流及其计算 270.电气设备的选择与校验 271.电气控制线路的设计原则 272.蓄电池性能测试仪设计 273.红外恒温控制器的设计与制作 274.串联稳压电源的设计 275.智能编码电控锁设计 276.多用定时器的电路设计与制作 277.基于单片机的数字电压表设计 278.智能饮水机控制系统 279.自行车 车速 报警系统 280.大棚仓库温湿度自动控制系统 281.浮点数运算FPGA实现 282.自行车里程,速度计的设计 283.等精度频率计的设计 284.声纳式高度计系统设计和研究 285.集约型无绳多元心脉传感器研究与设计 286.电气电子信息工程,通信工程,课程设计 交流接触器的工艺与工装 288.六路抢答器设计 双闭环不可逆直流调速系统设计 290.机床润滑系统的设计 291.塑壳式低压断路器设计 292.直流接触器设计 工艺流程及各流程分析介绍 294.大棚温湿自动控制系统 295.基于单片机的短信收发系统设计 ――硬件设计 296.三层电梯的单片机控制电路 297.交通灯89C51控制电路设计 298.基于D类放大器的可调开关电源的设计 299.直流电动机的脉冲调速 300.红外快速检测人体温度装置的设计与研制 301.基于8051单片机的数字钟 直流高频开关电源设计 303.继电器保护毕业设计 304.电力系统电压频率紧急控制装置研究 305.用单片机控制的多功能门铃 306.全氢煤气罩式炉的温度控制系统的研究与改造 307.基于ATmega16单片机的高炉透气性监测仪表的设计 308.基于MSP430的智能网络热量表 309.火电厂石灰石湿法烟气脱硫的控制 310.家用豆浆机全自动控制装置 311.新型起倒靶控制系统的设计与实现 312.软开关技术在变频器中的应用 313.中频感应加热电源的设计 314.智能小区无线防盗系统的设计 315.智能脉搏记录仪系统 316.直流开关稳压电源设计 317.用单片机实现电话远程控制家用电器 318.无线话筒制作 319.温度检测与控制系统 320.数字钟的设计 321.汽车尾灯电路设计 322.篮球比赛计时器的硬件设计 323.节能型电冰箱研究 324.交流异步电动机变频调速设计 325.基于单片机控制的PWM调速系统 326.基于单片机的数字温度计的电路设计 327.基于Atmel89系列芯片串行编程器设计 328.基于单片机的实时时钟 329.基于MCS-51通用开发平台设计 330.基于MP3格式的单片机音乐播放系统 331.基于单片机的IC卡智能水表控制系统设计 332.基于MATLAB的FIR数字滤波器设计 333.单片机水温控制系统 334.基于PIC16F74单片机串行通信中继控制器 335.火灾自动报警系统336.基于单片机的电子时钟控制系统337.基于单片机mega16L的煤气报警器的设计338.微机型高压电网继电保护系统的设计 339.智能毫伏表的设计 340.基于单片机的波形发生器设计341.国产化PLC的研制 342.串行显示的步进电机单片机控制系统 343.编码发射与接收报警系统设计:看护机 345.编码发射接收报警设计:爱情鸟346.基于IC卡的楼宇门禁系统的设计 347.基于DirectShow的视频监控系统 348.智能机器人的研究与设计 ——自动循轨和语音控制的349.基于CPLD的出租车计价器设计——软件设计 电子商务在线信任模型实证研究 351.浅析通信原理中的增量控制352.浅析数字信号的载波传输353.浅谈塑料光纤传光原理浅谈数据通信及其应用前景浅谈光纤光缆和通信电缆 浅谈安防移动通信网中的安全技术浅论扬州帝一电器的供电系统浅论配电系统的保护与选择论人工智能的现状与发展方向 论电气设计中低压交流接触器的使用音频功率放大器的设计具有红外保护的温度自动控制系统的设计直流数字电压表的设计金属探测器制作太阳能装饰灯彩灯控制器自动选台立体声调频收音机浅析公路交通安全报警系统浅析单相配电器的推广应用基于立体声调频收音机的研究基于蓝牙技术的研究基于环绕立体声转接器的设计基于红外线报警系统的研究 基于高速公路监控系统的研究 多种变化彩灯 单片机音乐演奏控制器设计单片机的打印机的驱动设计 单目视觉车道偏离报警系统遥控小汽车的设计研究 单片机的数字电压表设计多路输出直流稳压源 数字电路数字钟设计 电力行业中宏观调控的措施及能源开发利用的危机 基于单片机对氧气浓度检测控制系统电子风压表设计智能定时闹钟设计 数字音乐盒设计数字温度计设计 数字定时闹钟设计数字电压表设计 计算器模拟系统设计定时闹钟设计 电子万年历设计电子闹钟设计单片机病房呼叫系统设计家庭智能紧急呼救系统的设计自动车库门的设计异步电动机功率因数控制系统的研究普通模拟示波器加装多功能智能装置的设计步进电机运行控制器的设计80C196MC控制的交流变频调速系统设计 简易远程心电监护系统智能型充电器的电源和显示的设计感应式门铃的设计与制作电子秤设计与制作电动车三段式充电器 SB140肖特基二极管制造与检测 SMT技术基于单片机的温度测量系统的设计 龙门刨床的可逆直流调速系统的设计 公交车站自动报站器的设计 单片机波形记录器的设计 音频信号分析仪 试论特殊条件下交流接触器的选用 试论配电系统设计方案的比较 浅析时分复用的基本原理论自动测试系统设计的几个问题 论专家系统 论在线检测论无损探伤的特点 论特殊应用类型的传感器 论双闭环无静差调速系统 论人工智能中的知识表示技术 论交流变频调速系统 论供电系统的防雷、接地保护及电气安全 论工厂供电系统的运行及管理 论工厂导线和电缆截面的选择 论高频反馈控制电路 论高频电路的频谱线性搬移论电测技术中的抗干扰问题 论传感器使用中的抗干扰技术 论“人工智能”中的知识获取技术 电气设备的漏电保护及接地 太阳能热水器水温水位测控仪分析基于单片机的电火箱调温器表面贴片技术SMT的广泛应用及前景中型电弧炉单片机控制系统设计中频淬火电气控制系统设计新型洗浴器设计新型电磁开水炉设计基于电流型逆变器的中频冶炼电气设计6KW电磁采暖炉电气设计64点温度监测与控制系统电力市场竞价软件设计DS18B20温度检测控制步进电动机驱动器设计多通道数据采集记录系统单片机控制直流电动机调速系统IGBT逆变电源的研究与设计软开关直流逆变电源研究与设计单片机电量测量与分析系统温湿度智能测控系统现场总线控制系统设计加热炉自动控制系统电容法构成的液位检测及控制装置基于CD4017电平显示器无线智能报警系统可编程的LED(16×64)点阵显示屏多路智力抢答器设计8×8LED点阵设计

简易电子时钟设计毕业论文

EDA课程设计报告电子钟组员吕卫伟:200840720126张奎:200840830133侯从彬:200840830133万远程:200840830133李传迪:200840830133杨占胜:200840830133指导教师:陈卫兵一、电子钟的设计原理:电子钟主要有四个模块组成: 扫描电路、计数模块电路、BCD码转换电路、显示器驱动电路。由CP送入1HZ的时钟信号,并输入计数60的分频计秒电路。在计数至6o瞬间. 进位至计数60的分频计分分频使分频计分电路加1。而计秒电路也消除为0重新再计秒。计分电路与计时电路功能同上。计数输出的二进制数通过BCD码转换电路将其转为BCD码,再通过扫描电路选择输出的BCD码。送至显示驱动电路.再将其结果转换成相应的十进制数在七段数码显示管上显示.并通过扫描电路控制数码管的显示。最终在数码显示管上可以看到秒,分,时的显示结果二、设计实现本程序总共有十二个部分依次是产生脉冲信号、60秒计数器、60分计数器、24时计数器、毫秒计数器、秒计数器、月份判断、年月日。设计过程:仿真图和源程序(1).秒钟模块秒是这次电子钟设计的最底层模块.其核心是一个60进制计数器,以外来时钟信号作为其触发时钟信号,当外来信号进入clk时钟信号端,其内部的60进制计数器便开始工作,对信号源进行计数.计数结果由count输出至数码管显示秒钟时间.当计数到60时,其值置零,并向外输出一个进位信号C,这个进位信号便为下个分钟模块的输入时钟信号library ieee;use ;use ;entity miao isport(rst,clk:in std_logic;d:in std_logic_vector(1 downto 0);clk1:out std_logic);end miao;architecture do of miao issignal d1:std_logic_vector(1 downto 0);beginprocess(clk,d)beginif rst='1' thend1<="00";ELSif clk'event and clk='1'thenif d1="11"thend1<=d;clk1<='1';else d1<=d1+1; clk1<='0';end if;end if;end process;end do;(2).分钟模块分钟模块核心也是一个60进制计数器,其功能的实现是将秒模块的进位信号进行计数,计数结果由dout输至数码管显示,当计数至60是数值置零,并向外输出进位信号 ieee;use ;use ;entity fen isport(zs1,clk2,rst1:in std_logic;d1: in std_logic_vector(5 downto 0);fe :out std_logic_vector(5 downto 0);clk3:out std_logic);end fen;architecture do of fen issignal d0:std_logic_vector(5 downto 0);beginprocess(clk2,d1,zs1,rst1)beginif rst1='1'thend0<="000000";elsif clk2'event and clk2='1'thenif d0="111111"thenclk3<='1';d0<="000000";elsif zs1='1'thend0<=d1;else d0<=d0+1;clk3<='0';end if;end if;end process;fe<=d0;end do;(3)时、星期计数模块library ieee;use ;use ;entity shi isport(clk5 :in std_logic;xs:out std_logic_vector(4 downto 0);xinqi:out std_logic_vector(2 downto 0));end shi;architecture do of shi issignal d3:std_logic_vector(4 downto 0);signal d4:std_logic_vector(2 downto 0);signal clk6:std_logic;beginr1:process(clk5)beginif clk5'event and clk5='1'thenif d3="11000"thend3<="00000";clk6<='1';else d3<=d3+1;clk6<='0';end if;end if;end process r1;r2: process(clk6)beginif clk6'event and clk6='1'thenif d4="111"thend4<="001";else d4<=d4+1;end if;end if;end process r2;xs<=d3;xinqi<=d4;end do;(4)年月日计数library ieee;use ;use ;entity haos isport(clk8,zr,zy:in std_logic;g2:in std_logic_vector(4 downto 0);g1: in std_logic_vector(3 downto 0);ri :out std_logic_vector(4 downto 0);yue: out std_logic_vector(3 downto 0));end haos;architecture do of haos issignal clk9:std_logic;signal w1:std_logic_vector(4 downto 0);signal w2:std_logic_vector(3 downto 0);beginr1: process(clk8,zr,g2)beginif zr='1'thenw1<=g2;elsif clk8'event and clk8='1'thenif w1="11110"thenw1<="00001";clk9<='1';else w1<=w1+1;clk9<='0';end if;end if;end process r1;r2:process(clk9)beginif zy='1'thenw2<=g1;elsif clk9'event and clk9='1'thenif w2="1100"thenw2<="0001";else w2<=w2+1;end if;end if;end process r2;ri<=w1;yue<=w2;end do;最后将这几个模块(GDF)连接一起就可以了.根据实际情况做引脚锁定,引脚表见附录。课程设计心得设计语言主要是采用VHDL语言的自顶向下的设计方法。EDA中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程,应用VHDL运行自顶向下的设计,就是使用VHDL模型在所有综合级别上对硬件进行说明、建模和仿真测试。顶层文件采用了原理图的方法设计,使各模块之间的层次关系清晰。在多功能电子钟实际设计过程中,所有的模块都是通过不同进制的计数器来实现其主要功能的,各模块之间是通过进位信号连接在一起的。前一级的进位信号作为下一级的计数clk信号,通过层次关系使设计思路清晰一开始由于程序的设计考虑置位调整。没有好的思路,走了不少弯路。后来(有人)想到了在程序里设置总控制端,于是解决了问题。和同学的合作使我的程序更加优化。通过这次课设,也给我带来以下一些收获:1、 进一步熟悉maxplus II软件的使用和操作方法,以及硬件实现时的下载方法与运行方法;2、 对VHDL语言的自顶向下设计方法有了进一步的认识;在底层文件具备的条件下,使用原理图可以使设置更加简单。使程序清晰,增加可读性。3、 锻炼了我独立思考和解决问题的能力,也认识到团队合作的重要性。4、 熟悉了写电子设计试验报告的方法,为写毕业设计论文奠定了一定的基础。5、 当然本次课程设计也存在一些不足之处,月的功能没有设置好,很多扩展功能没有实现,比如说秒表,闹铃等等谢辞首先,在这里感谢学校给我们安排了这次课设,使我们有一个可以自己动手的机会,通过动手,进一步熟悉自己所学的理论知识,体会自己动手得出结果的那种感觉。再次,在这要感谢我们的指导老师,陈卫兵老师和实验室老师在我们的实践过程中不提自己的辛苦,耐心回答我们所问的所有问题,帮助我们解决一些程序和调试,在这表示感谢!感谢这次课程设计中给我帮助的同学,是你们的帮助,我才顺利的完成了课程设计任务。谢谢你们的帮助!参考文献[1]卢毅.赖杰. VHDL与数字电路设计. 北京:科学出版社, 2001[2]潘松. VHDL使用教程. 成都:电子科技出版社,2000[3]黄继业. EDA技术实用教程.科学出版社,2002[4]徐志军.CPLD/FPGA的开发与应用.北京电子工业出版社,2002[5]曾繁泰,侯亚宁,崔元明.可编程器件应用导论,2001

1. EDA教学实验设计实例——电子秒表电路的设计 被引次数:1次 艾明晶 金惠华 文献来自:仪器仪表学报 2001年 第S2期 MAX+PLUS开发系统本文详细介绍了一个 EDA教学实验的设计实例——电子秒表电路的设计。作者采用顶层图形设计的思想 ,对电子秒表电路的核心芯片——计时控制芯片进行设计 ,并介绍了在设计中所解决的各个关键问题。本文使用目前流行的一种 EDA软件平台——美国 Altera公司的 M ... 2. EDA教学实验设计实例——电子秒表电路的设计 艾明晶 金惠华 文献来自:中国仪器仪表学会第三届青年学术会议论文集(下) 2001年 第总第期 北京 100083本文详细介绍了一个EDA教学实验的设计实例——电子秒表电路的设计。作者采用顶层图形设计的思想,对电子秒表电路的核心芯片——计时控制芯片进行设计,并介绍了在设计中所解决的各个关键问题。本文使用目前流行的一种EDA软件平台——美国Altera公司的MAX+PL ... 3. 风扇电子定时器设计一例 仇德明 潘裕明 文献来自:家电科技 1987年 第03期 秒表:金雀电子秒表,上海手 表五厂产 现将以上5个样机试验结果进行分析,以便对本电路按理论计算式所得的定时时间T的置信度作一讨论:由于影响T的因素较多,如电容漏电流的离散性、不稳定性、门电路闭值电平vT。的差异 ... 本文介绍一种以数字电路为主兼顾成本与质量两者关系的三小时电子定时电路,具有一定的实用性。 ... 4. 实用多功能电子时钟设计 被引次数:1次 翟玉文 徐宏亮 艾学忠 王庆伟 赵岩 文献来自:吉林化工学院学报 2001年 第01期 通过按键可进行电子时钟与电子秒表功能的切换 ,可对电子时钟的显示内容、时间对时、闹钟定时等功能进行设定和对电子秒表开始计时、暂?... 动态显示介绍一种以AT89C5 1单片机为核心的实用多功能电子时钟设计 .该时钟具有年、月、日、星期、时、分、秒显示和整点音乐报时及定时闹钟等功能 ,也可作电子秒表使?... 5. 数字秒表的实验设计 邹华 文献来自:潍坊教育学院学报 1997年 第01期 、(图二J这样整个数字秒表就设计出来了。从电路图上可以看出,所用器件都比较简单,除有一定实用价值外,作为一个学生实验来做,既可系统地巩固所学知识,又有利于理论联系实际,实践证明,效果很好。39数字秒表的实验设计@邹华<正>秒表是一种 ... 6. 简易电子钟的设计 王韧 俞斌 文献来自:电子世界 2005年 第07期 仅通过程序设计,即可为电子钟增加年、月、日、星期以及闹铃、秒表等功能。◆图4图5图6图7简易电子钟的设计@王韧$湖南工学院电气与信息工程系 @俞斌$湖南工学院电气与信息工程?... 7. 用电子秒表取代打点计时器 朱成标 文献来自:物理实验 1995年 第03期 连接外接微动开关的引线aa'与bb'和电子秒表的连接方法如图2所示.aa'与相连的开关ANI、KZ相当于电子秒表按钮M,对电子秒表有复位/中间计时的控制作用 ... 电子秒表即可获得相应的计时控制信号.二、电子秒表的实验计时方法电子秒表用于实验计时有三种计时方法,即同步计时、中途一次计时、中途二物理实验第15卷第8期次计时 ... 8. 语音智能电子体温计设计 支长义 程志平 焦留成 文献来自:微计算机信息 2007年 第07期 450002河南郑州$郑州大学电气工程学院根据设计要求,以SPCE061A新型单片机为基础,通过对温度采样信号分析研究,给出了语音智能电子体温计设计电路,测试结果表明,该电路较为理想。SPCE061A单片机 ... 9. 电子秒表自动计时的研究 谢志堃 文献来自:绍兴文理学院学报 2004年 第10期 并用这个信号去控制电子秒表的触发端,以实现电子秒表自动起、停的计时功能.1电子秒表的自动计时研究 电子秒表具有分段计时的功能,因此可以用来测量运动物体经过某段距离的时间间隔 ... 通过对电子秒表的研究发现,从电子秒表的触发方式来看,只需对计时触发端提供一个电压就可以对电子秒表加以控制,实现自动计时的功能 ... 希望以上资料对你有帮助!

电子钟相关毕业设计 ·数字电子钟的电路设计 (字数:9242,页数:22 )·数字电子钟的设计与制作 (字数:8017,页数:22 )·数字钟的设计 (字数:6208,页数:21 )·基于8051单片机的数字钟 (字数:21638,页数:50)·基于单片机的电子时钟控制系统 (字数:7935,页数:42 )·数字电路数字钟设计 (字数:4846,页数:21 )·电子闹钟设计 (字数:4094,页数:19 )·定时闹钟设计 (字数:5714,页数:24 )·智能定时闹钟设计 (字数:3826,页数:18 )·下棋定时钟设计 (字数:5290,页数:24 )·多功能数字钟设计与制作 (字数:13129,页数:34)·基于单片机的电子钟设计 (字数:7710,页数:24 )·基于单片机的数字电子钟设计 (字数:10301,页数:42)·基于Labview的虚拟数字钟设计 (字数:17457,页数:32)·电子日历钟 (字数:10677,页数:33)·数字钟的设计与制作 (字数:4922,页数:23 )·单片机数字钟设计 (字数:15355,页数:47)·基于单片机的数字钟设计 (字数:12541,页数:27)·单片机定时闹钟设计 (字数:8450,页数:24 )·万年历可编程电子钟控电铃 (字数:14371.页数:41)·数字定时闹钟设计 (字数:7770,页数:28 )·基于EDA技术的数字电子钟设计 (字数:12247,页数:32)·多功能时钟打点系统设计 (字数:8353,页数:31 )·智能音乐闹钟设计 (字数:10002,页数:37)·基于AT89S51单片机的数字电子钟设计 (字数:14560,页数:39)

相关百科

热门百科

首页
发表服务